05:48:48.607365 mtc BSC_Tests.ttcn:3318 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_stat_num_bts_connected_2'. 05:48:49.626929 mtc BSC_Tests.ttcn:3318 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_stat_num_bts_connected_2' was executed successfully (exit status: 0). 05:48:49.627003 mtc BSC_Tests.ttcn:3318 Test case TC_stat_num_bts_connected_2 started. 05:48:49.627034 mtc BSC_Tests.ttcn:3318 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.627139 mtc BSC_Tests.ttcn:3318 Port IPA_CTRL was started. 05:48:49.627170 mtc BSC_Tests.ttcn:3318 Port RSL_CCHAN[0] was started. 05:48:49.627193 mtc BSC_Tests.ttcn:3318 Port RSL_CCHAN[1] was started. 05:48:49.627216 mtc BSC_Tests.ttcn:3318 Port RSL_CCHAN[2] was started. 05:48:49.627241 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[0][0] was started. 05:48:49.627266 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[0][1] was started. 05:48:49.627288 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[0][2] was started. 05:48:49.627308 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[0][3] was started. 05:48:49.627326 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[1][0] was started. 05:48:49.627345 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[1][1] was started. 05:48:49.627364 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[1][2] was started. 05:48:49.627383 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[1][3] was started. 05:48:49.627402 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[2][0] was started. 05:48:49.627421 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[2][1] was started. 05:48:49.627440 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[2][2] was started. 05:48:49.627459 mtc BSC_Tests.ttcn:3318 Port IPA_RSL[2][3] was started. 05:48:49.627478 mtc BSC_Tests.ttcn:3318 Port IPA was started. 05:48:49.627496 mtc BSC_Tests.ttcn:3318 Port SCCPLITE_IPA_CTRL was started. 05:48:49.627515 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[0][0] was started. 05:48:49.627535 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[0][1] was started. 05:48:49.627553 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[0][2] was started. 05:48:49.627572 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[0][3] was started. 05:48:49.627591 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[1][0] was started. 05:48:49.627610 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[1][1] was started. 05:48:49.627629 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[1][2] was started. 05:48:49.627648 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[1][3] was started. 05:48:49.627666 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[2][0] was started. 05:48:49.627685 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[2][1] was started. 05:48:49.627704 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[2][2] was started. 05:48:49.627722 mtc BSC_Tests.ttcn:3318 Port IPA_CFG_PORT[2][3] was started. 05:48:49.627741 mtc BSC_Tests.ttcn:3318 Port BSCVTY was started. 05:48:49.627760 mtc BSC_Tests.ttcn:3318 Port BSSAP was started. 05:48:49.627779 mtc BSC_Tests.ttcn:3318 Port BSSAP_LE was started. 05:48:49.627797 mtc BSC_Tests.ttcn:3318 Component type BSC_Tests.test_CT was initialized. 05:48:49.627822 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 05:48:49.627848 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 05:48:49.627873 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 05:48:49.628253 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 05:48:49.628302 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 05:48:49.628361 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 05:48:49.628412 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 05:48:49.628442 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 05:48:49.628467 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 05:48:49.628526 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 05:48:49.628592 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.628645 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 05:48:49.628674 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 05:48:49.628704 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 05:48:49.628729 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 05:48:49.628750 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 05:48:49.628774 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 05:48:49.628799 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 05:48:49.628824 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 05:48:49.628920 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 05:48:49.628980 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.629030 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.629055 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 05:48:49.629079 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 05:48:49.629103 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.629134 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 05:48:49.629187 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.629357 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 05:48:49.629422 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.629469 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.629523 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.629550 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 05:48:49.629576 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 05:48:49.629602 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.629629 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 05:48:49.629682 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.629834 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 05:48:49.629897 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.629942 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.629993 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.630020 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 05:48:49.630045 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 05:48:49.630070 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.630098 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 05:48:49.630161 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.630275 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 05:48:49.630335 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.630378 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.630428 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.630454 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 05:48:49.630479 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 05:48:49.630503 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.630530 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 05:48:49.630581 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.630686 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 05:48:49.630746 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.630788 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.630838 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.630864 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 05:48:49.630889 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 05:48:49.630914 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.630940 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 05:48:49.630991 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.631077 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 05:48:49.631135 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.631180 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.631206 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 05:48:49.631230 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 05:48:49.631255 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.631282 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 05:48:49.631333 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.631445 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 05:48:49.631504 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.631547 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.631597 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.631624 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 05:48:49.631648 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 05:48:49.631684 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.631711 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 05:48:49.631762 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.631868 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 05:48:49.631928 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.631972 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.632022 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.632048 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 05:48:49.632073 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 05:48:49.632097 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.632124 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 05:48:49.632175 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.632294 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 05:48:49.632351 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.632393 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.632442 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.632468 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 05:48:49.632492 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 05:48:49.632516 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.632541 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 05:48:49.632592 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.632690 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 05:48:49.632747 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.632789 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.632837 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:49.632863 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 05:48:49.632888 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 05:48:49.632912 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.632937 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 05:48:49.632988 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.633074 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 05:48:49.633131 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.633177 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.633202 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 05:48:49.633237 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 05:48:49.633262 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.633290 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 05:48:49.641448 27 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:49.641617 27 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: VirtMSC-STATS(27), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 05:48:49.641665 27 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:49.641770 27 - Connected to MC. 05:48:49.641805 27 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.641841 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 27, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 05:48:49.641859 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.115.203", 8125) on component VirtMSC-STATS(27). 05:48:49.641900 mtc StatsD_Checker.ttcn:252 Function was started. 05:48:49.641914 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 05:48:49.641940 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.642081 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 05:48:49.642113 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.642136 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.642148 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 05:48:49.642160 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 05:48:49.642173 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.642186 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 05:48:49.642212 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.642266 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 1" id 14 05:48:49.642284 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 05:48:49.642305 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.642325 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.642343 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 05:48:49.642358 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 05:48:49.642370 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 1" with ? matched 05:48:49.642382 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 1" id 14 05:48:49.642394 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 14 was extracted from the queue of BSCVTY. 05:48:49.642413 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.642433 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.642445 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 05:48:49.642457 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 05:48:49.642469 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.642482 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 05:48:49.642508 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.642561 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 2" id 16 05:48:49.642579 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 05:48:49.642606 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.642626 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:49.642644 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 05:48:49.642658 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 05:48:49.642670 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 2" with ? matched 05:48:49.642682 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 2" id 16 05:48:49.642694 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 05:48:49.642713 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.642734 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.642746 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 05:48:49.642758 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 05:48:49.642770 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.642828 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 05:48:49.644482 27 - Port STATSVTY was started. 05:48:49.644523 27 - Port STATSD_PROC was started. 05:48:49.644547 27 - Port STATS was started. 05:48:49.644565 27 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 05:48:49.644676 27 - Starting function main("172.18.115.203", 8125). 05:48:49.644969 27 StatsD_Checker.ttcn:103 Mapping port VirtMSC-STATS(27):STATS to system:STATS. 05:48:49.645078 27 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 05:48:49.645145 27 StatsD_Checker.ttcn:103 Map operation of VirtMSC-STATS(27):STATS to system:STATS finished. 05:48:49.645413 27 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.115.203:8125 / UDP 05:48:49.646565 27 StatsD_Checker.ttcn:111 Mapping port VirtMSC-STATS(27):STATSVTY to system:STATSVTY. 05:48:49.647102 27 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 05:48:49.647172 27 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 05:48:49.647242 27 StatsD_Checker.ttcn:111 Map operation of VirtMSC-STATS(27):STATSVTY to system:STATSVTY finished. 05:48:49.647390 27 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 05:48:49.647462 27 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 05:48:49.647484 27 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 05:48:49.647620 27 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 05:48:49.647694 27 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.647746 27 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 05:48:49.647758 27 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 05:48:49.647769 27 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 05:48:49.647778 27 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 05:48:49.647800 27 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 05:48:49.647857 27 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 05:48:49.647882 27 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 05:48:49.647898 27 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 05:48:49.647975 27 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 05:48:49.648052 27 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.648082 27 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.648094 27 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 05:48:49.648109 28 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:49.648112 27 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 05:48:49.648133 27 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.648153 27 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 05:48:49.648179 27 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:49.648247 27 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 05:48:49.648272 27 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:49.648296 27 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:49.648309 27 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 05:48:49.648320 27 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 05:48:49.648332 27 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:49.648333 28 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.648369 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 28, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 05:48:49.648424 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 05:48:49.651303 28 - Component type SCCP_Emulation.SCCP_CT was initialized. 05:48:49.653699 29 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:49.653846 29 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: VirtMSC-RAN(29), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 05:48:49.653884 29 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:49.653958 29 - Connected to MC. 05:48:49.653985 29 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.654015 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 29, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 05:48:49.654057 mtc RAN_Adapter.ttcnpp:117 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 05:48:49.656467 29 - Port BSSAP was started. 05:48:49.656507 29 - Port CLIENT was started. 05:48:49.656525 29 - Port MGCP was started. 05:48:49.656543 29 - Port CTRL was started. 05:48:49.656561 29 - Port CTRL_CLIENT was started. 05:48:49.656579 29 - Port PROC was started. 05:48:49.656596 29 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 05:48:49.659300 30 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:49.659427 30 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: VirtMSC-IPA(30), component type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. Version: 9.0.0. 05:48:49.659467 30 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:49.659540 30 - Connected to MC. 05:48:49.659567 30 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.659604 mtc RAN_Adapter.ttcnpp:117 PTC was created. Component reference: 30, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 05:48:49.659658 mtc RAN_Adapter.ttcnpp:118 Mapping port VirtMSC-IPA(30):IPA_PORT to system:IPA_CODEC_PT. 05:48:49.661682 30 - Port IPA_PORT was started. 05:48:49.661746 30 - Port CFG_PORT was started. 05:48:49.661766 30 - Port MTP3_SP_PORT was started. 05:48:49.661785 30 - Port IPA_MGCP_PORT was started. 05:48:49.661803 30 - Port IPA_RSL_PORT was started. 05:48:49.661821 30 - Port IPA_OML_PORT was started. 05:48:49.661839 30 - Port IPA_CTRL_PORT was started. 05:48:49.661856 30 - Port IPA_SP_PORT was started. 05:48:49.661874 30 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 05:48:49.661929 30 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 05:48:49.661999 mtc RAN_Adapter.ttcnpp:118 Map operation of VirtMSC-IPA(30):IPA_PORT to system:IPA_CODEC_PT finished. 05:48:49.662047 mtc RAN_Adapter.ttcnpp:120 Connecting ports VirtMSC-IPA(30):MTP3_SP_PORT and VirtMSC-SCCP(28):MTP3_SCCP_PORT. 05:48:49.662248 30 - Port MTP3_SP_PORT has established the connection with VirtMSC-SCCP(28):MTP3_SCCP_PORT using transport type UNIX. 05:48:49.662319 mtc RAN_Adapter.ttcnpp:120 Connect operation on VirtMSC-IPA(30):MTP3_SP_PORT and VirtMSC-SCCP(28):MTP3_SCCP_PORT finished. 05:48:49.662359 mtc RAN_Adapter.ttcnpp:122 Creating new PTC with component type IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 05:48:49.667548 31 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:49.667651 31 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: VirtMSC-IPA-WAIT(31), component type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. Version: 9.0.0. 05:48:49.667681 31 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:49.667738 31 - Connected to MC. 05:48:49.667759 31 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_EventWaiter_CT inside testcase TC_stat_num_bts_connected_2. 05:48:49.667807 mtc RAN_Adapter.ttcnpp:122 PTC was created. Component reference: 31, alive: no, type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 05:48:49.667848 mtc RAN_Adapter.ttcnpp:123 Connecting ports VirtMSC-IPA(30):IPA_SP_PORT and VirtMSC-IPA-WAIT(31):IPA_SP_PORT. 05:48:49.668073 30 - Port IPA_SP_PORT is waiting for connection from VirtMSC-IPA-WAIT(31):IPA_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-294db211. 05:48:49.669389 31 - Port IPA_SP_PORT was started. 05:48:49.669426 31 - Component type IPA_Emulation.IPA_EventWaiter_CT was initialized. 05:48:49.669471 31 - Port IPA_SP_PORT has established the connection with VirtMSC-IPA(30):IPA_SP_PORT using transport type UNIX. 05:48:49.669560 30 - Port IPA_SP_PORT has accepted the connection from VirtMSC-IPA-WAIT(31):IPA_SP_PORT. 05:48:49.669590 mtc RAN_Adapter.ttcnpp:123 Connect operation on VirtMSC-IPA(30):IPA_SP_PORT and VirtMSC-IPA-WAIT(31):IPA_SP_PORT finished. 05:48:49.669624 mtc RAN_Adapter.ttcnpp:124 Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }) on component VirtMSC-IPA-WAIT(31). 05:48:49.669769 mtc RAN_Adapter.ttcnpp:124 Function was started. 05:48:49.669806 mtc RAN_Adapter.ttcnpp:125 Starting function main_server("172.18.115.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true) on component VirtMSC-IPA(30). 05:48:49.669889 mtc RAN_Adapter.ttcnpp:125 Function was started. 05:48:49.669953 31 - Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }). 05:48:49.670094 30 - Starting function main_server("172.18.115.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true). 05:48:49.670641 30 IPA_Emulation.ttcnpp:325 entering f__IPL4__PROVIDER__listen: 172.18.115.203:5000 / TCP 05:48:53.471912 30 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.115.20", remPort := 33003, locName := "172.18.115.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 05:48:53.472195 30 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 05:48:53.472224 30 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 05:48:53.472314 30 IPA_Emulation.ttcnpp:822 Matching on port IPA_PORT succeeded: matched 05:48:53.472369 30 IPA_Emulation.ttcnpp:822 Receive operation on port IPA_PORT succeeded, message from system(): @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.115.20", remPort := 33003, locName := "172.18.115.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 05:48:53.472408 30 IPA_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of IPA_PORT. 05:48:53.472435 30 IPA_Emulation.ttcnpp:824 Established a new IPA connection (conn_id=2) 05:48:53.473087 30 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(31) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } 05:48:53.473422 30 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:53.473601 31 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(30) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 05:48:53.473660 30 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 05:48:53.473896 30 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:53.473994 31 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 05:48:53.474042 30 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 05:48:53.474048 31 IPA_Emulation.ttcnpp:956 Matching on port IPA_SP_PORT succeeded. 05:48:53.474091 31 IPA_Emulation.ttcnpp:956 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(30): @IPA_Emulation.ASP_IPA_Event: { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 05:48:53.474143 31 IPA_Emulation.ttcnpp:956 Message with id 1 was extracted from the queue of IPA_SP_PORT. 05:48:53.474192 30 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:53.474289 30 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 05:48:53.474419 30 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0001FE06'O } 05:48:53.474977 30 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.115.20", remPort := 33003, locName := "172.18.115.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 05:48:53.475047 30 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 05:48:53.475266 30 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:53.475312 30 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:53.475406 30 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 05:48:53.475433 30 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:53.475458 30 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 05:48:53.475482 30 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 05:48:53.475512 30 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:53.475533 30 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:53.475578 30 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(31) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } 05:48:53.475644 31 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(30) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 05:48:53.475729 31 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT succeeded: matched 05:48:53.475759 31 IPA_Emulation.ttcnpp:953 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(30): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 05:48:53.475783 31 IPA_Emulation.ttcnpp:953 Message with id 2 was extracted from the queue of IPA_SP_PORT. 05:48:53.475850 31 IPA_Emulation.ttcnpp:954 setverdict(pass): none -> pass 05:48:53.475918 31 - Function waiter_main finished. PTC terminates. 05:48:53.475944 31 - Terminating component type IPA_Emulation.IPA_EventWaiter_CT. 05:48:53.475966 31 - Removing unterminated connection between port IPA_SP_PORT and VirtMSC-IPA(30):IPA_SP_PORT. 05:48:53.476012 31 - Port IPA_SP_PORT was stopped. 05:48:53.476034 31 - Component type IPA_Emulation.IPA_EventWaiter_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:53.476061 30 IPA_Emulation.ttcnpp:735 Connection of port IPA_SP_PORT to VirtMSC-IPA-WAIT(31):IPA_SP_PORT was closed unexpectedly by the peer. 05:48:53.476065 31 - Final verdict of PTC: pass 05:48:53.476112 30 IPA_Emulation.ttcnpp:735 Port IPA_SP_PORT was disconnected from VirtMSC-IPA-WAIT(31):IPA_SP_PORT. 05:48:53.476126 mtc RAN_Adapter.ttcnpp:129 PTC with component reference 31 is done. 05:48:53.476158 31 - Disconnected from MC. 05:48:53.476190 31 - TTCN-3 Parallel Test Component finished. 05:48:53.476195 mtc RAN_Adapter.ttcnpp:130 Disconnecting ports VirtMSC-IPA(30):IPA_SP_PORT and VirtMSC-IPA-WAIT(31):IPA_SP_PORT. 05:48:53.476275 mtc RAN_Adapter.ttcnpp:130 Disconnect operation on VirtMSC-IPA(30):IPA_SP_PORT and VirtMSC-IPA-WAIT(31):IPA_SP_PORT finished. 05:48:53.476320 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 05:48:53.476355 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 05:48:53.476394 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(29):BSSAP and VirtMSC-SCCP(28):SCCP_SP_PORT. 05:48:53.476710 29 - Port BSSAP has established the connection with VirtMSC-SCCP(28):SCCP_SP_PORT using transport type UNIX. 05:48:53.476813 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(29):BSSAP and VirtMSC-SCCP(28):SCCP_SP_PORT finished. 05:48:53.476857 mtc RAN_Adapter.ttcnpp:178 Connecting MGCP RAN Emulation to IPA MGCP PORT 05:48:53.476893 mtc RAN_Adapter.ttcnpp:179 Connecting ports VirtMSC-IPA(30):IPA_MGCP_PORT and VirtMSC-RAN(29):MGCP. 05:48:53.477082 29 - Port MGCP is waiting for connection from VirtMSC-IPA(30):IPA_MGCP_PORT on UNIX pathname /tmp/ttcn3-portconn-2e51a058. 05:48:53.477164 30 IPA_Emulation.ttcnpp:735 Port IPA_MGCP_PORT has established the connection with VirtMSC-RAN(29):MGCP using transport type UNIX. 05:48:53.477227 29 - Port MGCP has accepted the connection from VirtMSC-IPA(30):IPA_MGCP_PORT. 05:48:53.477253 mtc RAN_Adapter.ttcnpp:179 Connect operation on VirtMSC-IPA(30):IPA_MGCP_PORT and VirtMSC-RAN(29):MGCP finished. 05:48:53.477293 mtc RAN_Adapter.ttcnpp:184 Connecting CTRL RAN Emulation to IPA CTRL PORT 05:48:53.477327 mtc RAN_Adapter.ttcnpp:185 Connecting ports VirtMSC-IPA(30):IPA_CTRL_PORT and VirtMSC-RAN(29):CTRL. 05:48:53.477511 29 - Port CTRL is waiting for connection from VirtMSC-IPA(30):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-3c53a24a. 05:48:53.477579 30 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT has established the connection with VirtMSC-RAN(29):CTRL using transport type UNIX. 05:48:53.477641 29 - Port CTRL has accepted the connection from VirtMSC-IPA(30):IPA_CTRL_PORT. 05:48:53.477668 mtc RAN_Adapter.ttcnpp:185 Connect operation on VirtMSC-IPA(30):IPA_CTRL_PORT and VirtMSC-RAN(29):CTRL finished. 05:48:53.477726 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 05:48:53.477800 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(29). 05:48:53.477962 mtc RAN_Adapter.ttcnpp:190 Function was started. 05:48:53.478009 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(29):CTRL_CLIENT. 05:48:53.478209 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(29):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-fd1fe002. 05:48:53.478712 29 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 05:48:53.479948 29 Osmocom_Types.ttcn:118 Start timer T: 1 s 05:48:53.480068 29 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 05:48:53.480145 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(29):CTRL_CLIENT. 05:48:53.480197 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(29):CTRL_CLIENT finished. 05:48:53.480232 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(28). 05:48:53.480315 mtc RAN_Adapter.ttcnpp:197 Function was started. 05:48:53.480358 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 05:48:53.480433 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.480594 28 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 05:48:53.482797 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 05:48:53.482919 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 19 05:48:53.483893 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.484960 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:53.486020 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 05:48:53.487191 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 05:48:53.488238 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 05:48:53.489307 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.115.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.115.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.115.20\n remote-ip 172.18.115.203\n role asp\n transport-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.115.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 05:48:53.489418 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 18 was extracted from the queue of BSCVTY. 05:48:53.489454 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.489485 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:53.489503 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 19 05:48:53.489519 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 19 was extracted from the queue of BSCVTY. 05:48:53.489535 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.489568 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 05:48:53.489613 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.489769 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 20 05:48:53.489807 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.489843 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:53.489878 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:53.489897 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 20 05:48:53.489916 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 05:48:53.489935 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.489955 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 05:48:53.489989 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.490105 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 21 05:48:53.490141 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.490177 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:53.490220 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 05:48:53.490239 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 21 05:48:53.490256 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 05:48:53.490273 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.490291 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 05:48:53.490322 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.490424 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 22 05:48:53.490458 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.490489 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:53.490527 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 05:48:53.490544 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 22 05:48:53.490560 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 22 was extracted from the queue of BSCVTY. 05:48:53.490577 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.490593 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 05:48:53.490624 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.490720 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 05:48:53.490756 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.490791 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:53.490824 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:53.490842 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 05:48:53.490858 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 05:48:53.490875 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.490892 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 05:48:53.490924 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:53.491012 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 24 05:48:53.491047 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:53.491082 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:53.491100 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 24 05:48:53.491118 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 24 was extracted from the queue of BSCVTY. 05:48:53.491134 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:53.491158 mtc BSC_Tests.ttcn:1193 msc 1 is not configured, skipping 05:48:53.491189 mtc BSC_Tests.ttcn:1193 msc 2 is not configured, skipping 05:48:53.491210 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 05:48:53.500809 32 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:53.500968 32 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: IPA-CTRL-CLI-IPA(32), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 05:48:53.501010 32 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:53.501097 32 - Connected to MC. 05:48:53.501125 32 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:53.501187 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 32, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 05:48:53.501218 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(32):IPA_PORT to system:IPA_CODEC_PT. 05:48:53.503540 32 - Port IPA_PORT was started. 05:48:53.503604 32 - Port CFG_PORT was started. 05:48:53.503625 32 - Port MTP3_SP_PORT was started. 05:48:53.503644 32 - Port IPA_MGCP_PORT was started. 05:48:53.503663 32 - Port IPA_RSL_PORT was started. 05:48:53.503682 32 - Port IPA_OML_PORT was started. 05:48:53.503701 32 - Port IPA_CTRL_PORT was started. 05:48:53.503720 32 - Port IPA_SP_PORT was started. 05:48:53.503739 32 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 05:48:53.503802 32 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 05:48:53.503889 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(32):IPA_PORT to system:IPA_CODEC_PT finished. 05:48:53.503922 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT and mtc:IPA_CTRL. 05:48:53.504075 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-8d12f21f. 05:48:53.504160 32 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 05:48:53.504211 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT. 05:48:53.504243 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 05:48:53.504268 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.115.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(32). 05:48:53.504339 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 05:48:53.504364 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 05:48:53.504589 32 - Starting function main_client("172.18.115.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 05:48:53.505006 32 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.115.20:4249 / TCP 05:48:53.507396 32 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 05:48:53.507593 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:53.507632 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 05:48:53.507657 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:53.507681 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 05:48:53.507712 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 05:48:53.515809 33 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:53.515899 33 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: VirtMGW-MGCP-0(33), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 05:48:53.515920 33 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:53.515965 33 - Connected to MC. 05:48:53.515979 33 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:53.516055 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 33, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 05:48:53.516087 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.115.20", callagent_udp_port := -1, mgw_ip := "172.18.115.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(33). 05:48:53.516209 mtc BSC_Tests.ttcn:1104 Function was started. 05:48:53.516234 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 05:48:53.516264 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.410804. 05:48:53.516306 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "410804318", variable := "bts.0.oml-connection-state", val := omit } } 05:48:53.516340 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:53.516569 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "410804318", variable := "bts.0.oml-connection-state", val := omit } } id 1 05:48:53.516748 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:53.516800 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "410804318", variable := "bts.0.oml-connection-state", val := omit } } id 1 05:48:53.516838 32 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 05:48:53.516886 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "410804318", variable := "bts.0.oml-connection-state", val := omit } } 05:48:53.517063 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 410804318 bts.0.oml-connection-state" 05:48:53.517310 33 - Port MGCP was started. 05:48:53.517331 33 - Port MGCP_CLIENT was started. 05:48:53.517340 33 - Port MGCP_CLIENT_MULTI was started. 05:48:53.517349 33 - Port MGCP_PROC was started. 05:48:53.517359 33 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 05:48:53.517438 33 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.115.20", callagent_udp_port := -1, mgw_ip := "172.18.115.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0"). 05:48:53.517729 33 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(33):MGCP to system:MGCP_CODEC_PT. 05:48:53.517810 33 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 05:48:53.517814 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 410804318 bts.0.oml-connection-state") } 05:48:53.517855 33 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(33):MGCP to system:MGCP_CODEC_PT finished. 05:48:53.517979 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 410804318 bts.0.oml-connection-state") } 05:48:53.517990 33 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.115.203:2427 / UDP 05:48:53.518201 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:53.518307 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:53.518891 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 05:48:53.518963 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 05:48:53.519155 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 410804318 bts.0.oml-connection-state degraded") } 05:48:53.519213 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 410804318 bts.0.oml-connection-state degraded") } id 1 05:48:53.519304 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:53.519346 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920343130383034333138206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 410804318 bts.0.oml-connection-state degraded") } id 1 05:48:53.519371 32 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 05:48:53.519412 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 410804318 bts.0.oml-connection-state degraded" 05:48:53.519519 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 410804318 bts.0.oml-connection-state degraded 05:48:53.519543 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:53.519561 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:53.519659 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 410804318 bts.0.oml-connection-state degraded 05:48:53.519679 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:53.519703 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:53.519720 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:53.519738 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 410804318 bts.0.oml-connection-state degraded 05:48:53.519754 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:53.519772 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:53.519853 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 410804318 bts.0.oml-connection-state degraded 05:48:53.519873 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:53.519893 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:53.519911 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:53.519928 32 IPA_Emulation.ttcnpp:627 match_begin data: 410804318 bts.0.oml-connection-state degraded 05:48:53.519945 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:53.519962 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:53.519980 32 IPA_Emulation.ttcnpp:627 match_first data: 410804318 bts.0.oml-connection-state degraded 05:48:53.519996 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:53.520013 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:53.520030 32 IPA_Emulation.ttcnpp:627 match_list data: 410804318 bts.0.oml-connection-state degraded 05:48:53.520047 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:53.520064 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 05:48:53.520080 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:53.520097 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:53.520114 32 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 05:48:53.520138 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:53.520155 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:53.520172 32 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 05:48:53.520189 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:53.520206 32 IPA_Emulation.ttcnpp:627 match_begin data: degraded 05:48:53.520222 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:53.520240 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:53.520257 32 IPA_Emulation.ttcnpp:627 match_first data: degraded 05:48:53.520273 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:53.520290 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:53.520307 32 IPA_Emulation.ttcnpp:627 match_list data: degraded 05:48:53.520329 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:53.520371 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "410804318", variable := "bts.0.oml-connection-state", val := "degraded" } } 05:48:53.520427 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "410804318", variable := "bts.0.oml-connection-state", val := "degraded" } } 05:48:53.520504 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "410804318", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 05:48:53.520543 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:53.520572 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "410804318", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 05:48:53.520597 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 05:48:53.520622 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 05:48:53.520644 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 05:48:54.480244 29 Osmocom_Types.ttcn:119 Timeout T: 1 s 05:48:54.482668 29 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(28) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 05:48:54.482845 29 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 05:48:54.483322 29 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 05:48:54.483493 29 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 05:48:54.483926 29 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 05:48:54.487052 30 IPA_Emulation.ttcnpp:735 Message enqueued on MTP3_SP_PORT from VirtMSC-SCCP(28) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 05:48:54.487316 30 IPA_Emulation.ttcnpp:857 Matching on port MTP3_SP_PORT succeeded: matched 05:48:54.487364 30 IPA_Emulation.ttcnpp:857 Receive operation on port MTP3_SP_PORT succeeded, message from VirtMSC-SCCP(28): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 05:48:54.487406 30 IPA_Emulation.ttcnpp:857 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 05:48:54.487460 30 IPA_Emulation.ttcnpp:860 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443BB00FE0443B900FE06000430040100'O } 05:48:54.487491 30 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443BB00FE0443B900FE06000430040100'O } 05:48:54.487531 30 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0016FD090003070B0443BB00FE0443B900FE06000430040100'O 05:48:54.487562 30 IPA_Emulation.ttcnpp:860 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0016FD090003070B0443BB00FE0443B900FE06000430040100'O } 05:48:54.488582 30 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.115.20", remPort := 33003, locName := "172.18.115.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0013FD090003070B0443B900FE0443BB00FE03000131'O } id 3 05:48:54.488639 30 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0013FD090003070B0443B900FE0443BB00FE03000131'O 05:48:54.488653 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 05:48:54.488676 30 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 19, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443B900FE0443BB00FE03000131'O } 05:48:54.488707 30 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 05:48:54.488719 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 05:48:54.488764 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 05:48:54.488808 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 05:48:54.488825 30 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_SCCP (253) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.488841 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 05:48:54.488856 30 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.488865 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 05:48:54.488880 30 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 05:48:54.488899 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 05:48:54.488904 30 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 05:48:54.488938 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 05:48:54.488972 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.489004 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 05:48:54.489040 32 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 05:48:54.489066 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 05:48:54.489094 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 05:48:54.489114 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:54.489133 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:54.489157 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 05:48:54.489176 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:54.489199 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.489211 30 IPA_Emulation.ttcnpp:759 Sent on MTP3_SP_PORT to VirtMSC-SCCP(28) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 0, dpc := 0, sls := 0, data := '090003070B0443B900FE0443BB00FE03000131'O } 05:48:54.489218 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.489240 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 05:48:54.489259 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:54.489282 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.489301 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.489340 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 05:48:54.489360 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 05:48:54.489381 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.489401 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.489463 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 05:48:54.489483 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 05:48:54.489505 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.489524 32 IPA_Emulation.ttcnpp:627 match_begin result: 7 05:48:54.489544 32 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 05:48:54.489563 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.489582 32 IPA_Emulation.ttcnpp:627 match_first result: 23 05:48:54.489601 32 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 05:48:54.489620 32 IPA_Emulation.ttcnpp:627 match_list result: 23 05:48:54.489643 32 IPA_Emulation.ttcnpp:627 match_begin data: connected 05:48:54.489662 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.489682 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.489701 32 IPA_Emulation.ttcnpp:627 match_first data: connected 05:48:54.489734 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.489754 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:54.489773 32 IPA_Emulation.ttcnpp:627 match_list data: connected 05:48:54.489792 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:54.489812 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 05:48:54.489847 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 05:48:54.489894 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.489924 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 05:48:54.489941 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 05:48:54.489948 32 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 05:48:54.489969 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 05:48:54.489994 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 05:48:54.490013 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:54.490032 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:54.490053 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 05:48:54.490072 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:54.490103 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.490122 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.490142 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 05:48:54.490161 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:54.490185 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.490205 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.490224 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 05:48:54.490243 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 05:48:54.490264 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 05:48:54.490283 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.490305 32 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 05:48:54.490323 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 05:48:54.490344 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.490363 32 IPA_Emulation.ttcnpp:627 match_begin result: 7 05:48:54.490382 32 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 05:48:54.490401 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.490420 32 IPA_Emulation.ttcnpp:627 match_first result: 21 05:48:54.490439 32 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 05:48:54.490458 32 IPA_Emulation.ttcnpp:627 match_list result: 21 05:48:54.490477 32 IPA_Emulation.ttcnpp:627 match_begin data: connected 05:48:54.490496 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.490515 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.490534 32 IPA_Emulation.ttcnpp:627 match_first data: connected 05:48:54.490553 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.490572 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:54.490591 32 IPA_Emulation.ttcnpp:627 match_list data: connected 05:48:54.490599 29 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(28) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 05:48:54.490610 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:54.490630 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 05:48:54.490656 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 05:48:54.490704 29 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 05:48:54.490731 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 05:48:54.493500 29 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 05:48:54.493574 29 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 05:48:54.493811 29 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 05:48:54.493858 29 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(28): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 05:48:54.493885 29 RAN_Emulation.ttcnpp:540 Message with id 1 was extracted from the queue of BSSAP. 05:48:54.493918 29 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 05:48:54.520667 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 05:48:54.520733 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 05:48:54.531015 34 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:54.531138 34 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: IPA-BTS0-TRX0-RSL-IPA(34), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 05:48:54.531171 34 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:54.531243 34 - Connected to MC. 05:48:54.531263 34 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:54.531356 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 34, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 05:48:54.531426 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 05:48:54.531467 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 05:48:54.531495 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 05:48:54.533236 34 - Port IPA_PORT was started. 05:48:54.533272 34 - Port CFG_PORT was started. 05:48:54.533288 34 - Port MTP3_SP_PORT was started. 05:48:54.533304 34 - Port IPA_MGCP_PORT was started. 05:48:54.533318 34 - Port IPA_RSL_PORT was started. 05:48:54.533332 34 - Port IPA_OML_PORT was started. 05:48:54.533345 34 - Port IPA_CTRL_PORT was started. 05:48:54.533359 34 - Port IPA_SP_PORT was started. 05:48:54.533373 34 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 05:48:54.537054 35 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:54.537160 35 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: IPA-BTS0-TRX0-RSL-RSL(35), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 05:48:54.537191 35 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:54.537249 35 - Connected to MC. 05:48:54.537269 35 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:54.537375 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 35, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 05:48:54.537437 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(35):CCHAN_PT and mtc:RSL_CCHAN[0]. 05:48:54.537647 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(35):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d27df91e. 05:48:54.539171 35 - Port IPA_PT was started. 05:48:54.539205 35 - Port CLIENT_PT was started. 05:48:54.539221 35 - Port RSL_PROC was started. 05:48:54.539237 35 - Port CCHAN_PT was started. 05:48:54.539251 35 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 05:48:54.539297 35 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 05:48:54.539401 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(35):CCHAN_PT. 05:48:54.539461 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(35):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 05:48:54.539495 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(34):IPA_PORT to system:IPA_CODEC_PT. 05:48:54.539612 34 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 05:48:54.539732 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(34):IPA_PORT to system:IPA_CODEC_PT finished. 05:48:54.539791 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(34):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 05:48:54.540004 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(34):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-81558b6e. 05:48:54.540074 34 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 05:48:54.540140 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(34):CFG_PORT. 05:48:54.540179 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(34):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 05:48:54.540208 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(34):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(35):IPA_PT. 05:48:54.540350 34 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(35):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-e0ea763. 05:48:54.540433 35 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(34):IPA_RSL_PORT using transport type UNIX. 05:48:54.540454 34 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(35):IPA_PT. 05:48:54.540482 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(34):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(35):IPA_PT finished. 05:48:54.540523 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.115.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(34). 05:48:54.540594 mtc BSC_Tests.ttcn:999 Function was started. 05:48:54.540619 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(35). 05:48:54.540672 mtc BSC_Tests.ttcn:1001 Function was started. 05:48:54.540705 34 - Starting function main_client("172.18.115.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 05:48:54.540705 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 05:48:54.540742 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.946651. 05:48:54.540746 35 - Starting function main(true). 05:48:54.540795 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "946651211", variable := "bts.0.oml-connection-state", val := omit } } 05:48:54.540841 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:54.540894 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 05:48:54.540899 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "946651211", variable := "bts.0.oml-connection-state", val := omit } } id 2 05:48:54.540925 34 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.115.20:3003 / TCP 05:48:54.540935 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 05:48:54.540965 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:54.540983 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 05:48:54.540994 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "946651211", variable := "bts.0.oml-connection-state", val := omit } } id 2 05:48:54.541018 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 05:48:54.541019 32 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 05:48:54.541041 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "946651211", variable := "bts.0.oml-connection-state", val := omit } } 05:48:54.541060 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 05:48:54.541069 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 946651211 bts.0.oml-connection-state" 05:48:54.541095 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 05:48:54.541116 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 946651211 bts.0.oml-connection-state") } 05:48:54.541129 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 05:48:54.541143 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 946651211 bts.0.oml-connection-state") } 05:48:54.541163 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 05:48:54.541192 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:54.541237 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:54.541442 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 05:48:54.541471 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 05:48:54.541507 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 946651211 bts.0.oml-connection-state degraded") } 05:48:54.541558 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 946651211 bts.0.oml-connection-state degraded") } id 4 05:48:54.541584 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.541614 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393436363531323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 946651211 bts.0.oml-connection-state degraded") } id 4 05:48:54.541638 32 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 05:48:54.541660 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 946651211 bts.0.oml-connection-state degraded" 05:48:54.541686 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 946651211 bts.0.oml-connection-state degraded 05:48:54.541715 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:54.541737 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:54.541765 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 946651211 bts.0.oml-connection-state degraded 05:48:54.541784 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:54.541807 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.541827 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:54.541847 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 946651211 bts.0.oml-connection-state degraded 05:48:54.541866 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.541885 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.541911 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 946651211 bts.0.oml-connection-state degraded 05:48:54.541930 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:54.541953 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.541973 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:54.541992 32 IPA_Emulation.ttcnpp:627 match_begin data: 946651211 bts.0.oml-connection-state degraded 05:48:54.542005 34 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:54.542011 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.542031 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.542050 32 IPA_Emulation.ttcnpp:627 match_first data: 946651211 bts.0.oml-connection-state degraded 05:48:54.542069 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.542089 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:54.542118 32 IPA_Emulation.ttcnpp:627 match_list data: 946651211 bts.0.oml-connection-state degraded 05:48:54.542131 34 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 05:48:54.542137 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:54.542157 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 05:48:54.542176 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.542195 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.542214 32 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 05:48:54.542233 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.542252 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:54.542271 32 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 05:48:54.542290 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:54.542309 32 IPA_Emulation.ttcnpp:627 match_begin data: degraded 05:48:54.542328 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.542330 34 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:54.542348 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.542367 32 IPA_Emulation.ttcnpp:627 match_first data: degraded 05:48:54.542386 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.542405 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:54.542424 32 IPA_Emulation.ttcnpp:627 match_list data: degraded 05:48:54.542437 34 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 05:48:54.542443 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:54.542463 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := "degraded" } } 05:48:54.542493 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := "degraded" } } 05:48:54.542534 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:54.542551 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 05:48:54.542583 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 05:48:54.542601 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:54.542635 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "946651211", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 05:48:54.542655 34 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 05:48:54.542665 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 05:48:54.542693 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 05:48:54.543055 34 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 05:48:54.543542 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:54.543815 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 05:48:54.543831 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 05:48:54.543858 35 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:54.543867 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 05:48:54.543897 35 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 05:48:54.543977 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 05:48:54.544000 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 05:48:54.544028 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 05:48:54.544040 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 05:48:54.544052 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:54.544064 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:54.544135 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 05:48:54.544158 34 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 05:48:54.544172 34 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 05:48:54.544183 34 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 05:48:54.544218 34 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 05:48:54.544243 34 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 05:48:54.544452 34 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 05:48:54.544510 34 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 05:48:54.544528 34 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 05:48:54.544610 34 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:54.544633 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:54.544663 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 05:48:54.544684 34 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:54.544727 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 05:48:54.544741 34 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:54.544757 34 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 05:48:54.544771 34 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 05:48:54.544783 34 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:54.544796 34 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:54.544821 34 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 05:48:54.544858 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 05:48:54.545007 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 05:48:54.545036 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 05:48:54.545057 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 05:48:54.545076 35 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 05:48:54.545097 35 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 05:48:54.546095 35 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 05:48:54.546890 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 05:48:54.547058 34 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 05:48:54.547084 34 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(35): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 05:48:54.547106 34 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 05:48:54.547149 34 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 05:48:54.547397 34 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 05:48:54.547439 34 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 05:48:54.547453 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 05:48:54.547467 34 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 05:48:54.547485 34 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 05:48:54.547540 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:54.547555 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O 05:48:54.547571 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } 05:48:54.547591 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:54.547608 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:54.547620 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O 05:48:54.547634 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } 05:48:54.547656 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:54.547670 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 05:48:54.547681 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 05:48:54.547693 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 05:48:54.547706 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 05:48:54.547720 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:54.547731 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 05:48:54.547744 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 05:48:54.547759 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:54.547774 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:54.547796 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 05:48:54.547809 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 05:48:54.547827 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:54.547842 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 05:48:54.547853 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 05:48:54.547866 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } 05:48:54.547881 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 05:48:54.547895 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 05:48:54.547906 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 05:48:54.547919 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } 05:48:54.547935 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 05:48:54.547949 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:54.547960 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 05:48:54.547973 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 05:48:54.547988 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:54.548002 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:54.548018 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 05:48:54.548031 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 05:48:54.548046 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:54.548059 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 05:48:54.548070 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 05:48:54.548082 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 05:48:54.548094 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 05:48:54.548108 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:54.548119 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 05:48:54.548132 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 05:48:54.548146 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:54.548160 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 05:48:54.548171 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 05:48:54.548183 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 05:48:54.548201 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 05:48:54.548216 34 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:54.548228 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 05:48:54.548243 34 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 05:48:54.548267 34 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:54.548325 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.548339 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.548352 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:54.548363 34 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 05:48:54.548398 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O 05:48:54.548700 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } 05:48:54.549359 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 05:48:54.549491 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.549505 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.549517 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:54.549529 34 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 05:48:54.549540 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O 05:48:54.549560 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } 05:48:54.549610 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 05:48:54.549638 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.549651 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.549662 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 05:48:54.549673 34 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 05:48:54.549684 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 05:48:54.549699 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 05:48:54.549750 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 05:48:54.549773 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.549786 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.549799 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:54.549811 34 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 05:48:54.549821 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 05:48:54.549840 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 05:48:54.549879 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 05:48:54.549900 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.549918 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.549931 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:54.549942 34 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 05:48:54.549953 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 05:48:54.549953 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 05:48:54.549971 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 05:48:54.550009 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 05:48:54.550030 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550043 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550055 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 05:48:54.550066 34 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 05:48:54.550069 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.550076 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 05:48:54.550091 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.550094 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } 05:48:54.550109 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.550129 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } 05:48:54.550150 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550167 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550180 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 05:48:54.550191 34 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 05:48:54.550201 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 05:48:54.550220 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } 05:48:54.550259 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } 05:48:54.550280 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550295 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550309 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:54.550321 34 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 05:48:54.550334 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 05:48:54.550353 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 05:48:54.550388 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 05:48:54.550409 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550421 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550439 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:54.550450 34 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 05:48:54.550461 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 05:48:54.550477 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.550491 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 05:48:54.550555 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 05:48:54.550577 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550577 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.550590 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550601 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 05:48:54.550613 34 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 05:48:54.550623 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 05:48:54.550635 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.550636 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 05:48:54.550659 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.550660 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 05:48:54.550677 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 05:48:54.550678 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550690 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550691 35 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 05:48:54.550702 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:54.550713 34 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 05:48:54.550724 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 05:48:54.550740 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 05:48:54.550772 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 05:48:54.550775 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 05:48:54.550796 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550808 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550820 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 05:48:54.550831 34 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 05:48:54.550842 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 05:48:54.550858 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 05:48:54.550887 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 05:48:54.550897 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 1 05:48:54.550906 34 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:54.550918 34 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.550921 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 05:48:54.550931 34 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:54.550943 34 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 05:48:54.550953 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 05:48:54.550959 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 05:48:54.550982 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 05:48:54.551004 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 05:48:54.551021 34 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 05:48:54.551023 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 05:48:54.551042 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 05:48:54.551063 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 05:48:54.551092 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 05:48:54.551114 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 05:48:54.551131 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 05:48:54.551147 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 05:48:54.551162 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551173 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551177 34 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 05:48:54.551183 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551214 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.551245 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.551266 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.551298 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.551317 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 05:48:54.551335 35 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 05:48:54.551351 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 05:48:54.551371 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551385 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551394 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 2 05:48:54.551399 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551497 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:54.551533 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.551552 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.551567 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.551581 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 05:48:54.551594 35 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 05:48:54.551608 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 05:48:54.551627 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551647 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 05:48:54.551648 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551663 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551698 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.551734 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.551754 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.551768 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.551784 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 05:48:54.551797 35 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 05:48:54.551813 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 05:48:54.551833 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551847 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551855 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 05:48:54.551861 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.551897 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.551941 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.551961 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.551976 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.551992 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 05:48:54.552005 35 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 05:48:54.552020 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 05:48:54.552044 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552059 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552065 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 05:48:54.552073 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552109 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.552150 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.552173 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.552188 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.552203 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 05:48:54.552216 35 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 05:48:54.552232 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } 05:48:54.552252 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552267 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552273 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 6 05:48:54.552282 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552323 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.552360 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.552384 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.552399 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.552415 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 05:48:54.552429 35 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 05:48:54.552445 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } 05:48:54.552464 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552479 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552486 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 7 05:48:54.552493 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552529 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.552564 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.552583 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:54.552598 35 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:54.552619 35 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 05:48:54.552633 35 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 05:48:54.552649 35 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 05:48:54.552668 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552683 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552690 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 05:48:54.552698 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552733 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:54.552767 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.552787 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:54.552802 35 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 05:48:54.552815 35 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 05:48:54.552830 35 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 05:48:54.552858 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552874 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552879 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 05:48:54.552888 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.552921 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.552953 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.552977 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:54.552991 35 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 05:48:54.553004 35 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 05:48:54.553017 35 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 05:48:54.553035 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553050 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553052 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 05:48:54.553064 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553098 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:54.553130 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.553162 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:54.553177 35 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 05:48:54.553191 35 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 05:48:54.553206 35 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 05:48:54.553225 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553239 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553245 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 05:48:54.553254 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553288 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:54.553324 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.553344 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:54.553358 35 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 05:48:54.553371 35 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 05:48:54.553386 35 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 05:48:54.553430 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 05:48:54.553509 35 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(34) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 05:48:54.553535 35 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553547 35 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553557 35 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:54.553591 35 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:54.553624 35 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:54.553643 35 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:54.553661 35 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(34): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 05:48:54.553680 35 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 05:48:54.553698 35 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 05:48:54.553754 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(35) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 05:48:54.642722 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 05:48:54.642757 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.830190. 05:48:54.642782 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "830190146", variable := "bts.0.oml-connection-state", val := omit } } 05:48:54.642806 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:54.642861 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "830190146", variable := "bts.0.oml-connection-state", val := omit } } id 3 05:48:54.642923 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:54.642953 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "830190146", variable := "bts.0.oml-connection-state", val := omit } } id 3 05:48:54.642978 32 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 05:48:54.643000 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "830190146", variable := "bts.0.oml-connection-state", val := omit } } 05:48:54.643029 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 830190146 bts.0.oml-connection-state" 05:48:54.643071 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 830190146 bts.0.oml-connection-state") } 05:48:54.643098 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 830190146 bts.0.oml-connection-state") } 05:48:54.643138 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:54.643174 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:54.643409 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 05:48:54.643456 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 05:48:54.643492 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 830190146 bts.0.oml-connection-state connected") } 05:48:54.643546 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 830190146 bts.0.oml-connection-state connected") } id 5 05:48:54.643573 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:54.643606 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383330313930313436206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 830190146 bts.0.oml-connection-state connected") } id 5 05:48:54.643631 32 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 05:48:54.643654 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 830190146 bts.0.oml-connection-state connected" 05:48:54.643681 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 830190146 bts.0.oml-connection-state connected 05:48:54.643701 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:54.643722 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:54.643749 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 830190146 bts.0.oml-connection-state connected 05:48:54.643770 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:54.643794 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.643814 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:54.643835 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 830190146 bts.0.oml-connection-state connected 05:48:54.643855 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.643876 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:54.643901 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 830190146 bts.0.oml-connection-state connected 05:48:54.643921 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:54.643946 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:54.643966 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:54.643986 32 IPA_Emulation.ttcnpp:627 match_begin data: 830190146 bts.0.oml-connection-state connected 05:48:54.644007 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.644027 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.644048 32 IPA_Emulation.ttcnpp:627 match_first data: 830190146 bts.0.oml-connection-state connected 05:48:54.644067 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.644088 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:54.644108 32 IPA_Emulation.ttcnpp:627 match_list data: 830190146 bts.0.oml-connection-state connected 05:48:54.644128 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:54.644149 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 05:48:54.644169 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.644189 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.644209 32 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 05:48:54.644229 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.644250 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:54.644270 32 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 05:48:54.644299 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:54.644320 32 IPA_Emulation.ttcnpp:627 match_begin data: connected 05:48:54.644340 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:54.644360 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:54.644381 32 IPA_Emulation.ttcnpp:627 match_first data: connected 05:48:54.644401 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:54.644421 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:54.644441 32 IPA_Emulation.ttcnpp:627 match_list data: connected 05:48:54.644461 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:54.644482 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "830190146", variable := "bts.0.oml-connection-state", val := "connected" } } 05:48:54.644514 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "830190146", variable := "bts.0.oml-connection-state", val := "connected" } } 05:48:54.644580 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "830190146", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 05:48:54.644615 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:54.644635 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "830190146", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 05:48:54.644651 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 05:48:54.644666 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 05:48:54.644681 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 05:48:55.644723 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 05:48:55.644807 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 05:48:55.644867 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:55.645027 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 25 05:48:55.645088 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:55.645131 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:55.645175 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:55.645197 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 25 05:48:55.645219 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 05:48:55.645239 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:55.645270 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 05:48:55.645310 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:55.645373 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 26 05:48:55.645415 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:55.645449 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:55.645490 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 05:48:55.645511 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 26 05:48:55.645531 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 05:48:55.645550 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:55.645571 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 05:48:55.645611 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:55.645678 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 27 05:48:55.645728 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:55.645765 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:55.645805 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 05:48:55.645826 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 27 05:48:55.645845 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 27 was extracted from the queue of BSCVTY. 05:48:55.645864 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:55.645885 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 05:48:55.645923 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:55.646018 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 28 05:48:55.646059 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:55.646092 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:55.646131 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 05:48:55.646152 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 28 05:48:55.646170 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 05:48:55.646190 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:55.646209 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 05:48:55.646246 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:55.646298 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 29 05:48:55.646337 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:55.646372 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:55.646392 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 29 05:48:55.646418 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 29 was extracted from the queue of BSCVTY. 05:48:55.646438 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:55.646459 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 05:48:55.646480 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.771103. 05:48:55.646518 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771103229", variable := "bts.1.oml-connection-state", val := omit } } 05:48:55.646549 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:55.646602 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771103229", variable := "bts.1.oml-connection-state", val := omit } } id 4 05:48:55.646690 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:55.646719 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771103229", variable := "bts.1.oml-connection-state", val := omit } } id 4 05:48:55.646745 32 IPA_Emulation.ttcnpp:879 Message with id 4 was extracted from the queue of IPA_CTRL_PORT. 05:48:55.646766 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "771103229", variable := "bts.1.oml-connection-state", val := omit } } 05:48:55.646795 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 771103229 bts.1.oml-connection-state" 05:48:55.646847 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 771103229 bts.1.oml-connection-state") } 05:48:55.646875 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 771103229 bts.1.oml-connection-state") } 05:48:55.646917 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:55.646951 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:55.647122 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 6 05:48:55.647152 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 05:48:55.647195 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771103229 bts.1.oml-connection-state degraded") } 05:48:55.647252 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771103229 bts.1.oml-connection-state degraded") } id 6 05:48:55.647278 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:55.647310 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373731313033323239206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771103229 bts.1.oml-connection-state degraded") } id 6 05:48:55.647336 32 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 05:48:55.647359 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 771103229 bts.1.oml-connection-state degraded" 05:48:55.647385 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771103229 bts.1.oml-connection-state degraded 05:48:55.647404 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:55.647424 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:55.647451 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771103229 bts.1.oml-connection-state degraded 05:48:55.647470 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:55.647492 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:55.647511 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:55.647531 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 771103229 bts.1.oml-connection-state degraded 05:48:55.647550 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:55.647569 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:55.647595 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771103229 bts.1.oml-connection-state degraded 05:48:55.647614 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:55.647637 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:55.647656 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:55.647675 32 IPA_Emulation.ttcnpp:627 match_begin data: 771103229 bts.1.oml-connection-state degraded 05:48:55.647694 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:55.647714 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:55.647733 32 IPA_Emulation.ttcnpp:627 match_first data: 771103229 bts.1.oml-connection-state degraded 05:48:55.647752 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:55.647771 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:55.647790 32 IPA_Emulation.ttcnpp:627 match_list data: 771103229 bts.1.oml-connection-state degraded 05:48:55.647809 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:55.647828 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.1.oml-connection-state degraded 05:48:55.647847 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:55.647866 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:55.647885 32 IPA_Emulation.ttcnpp:627 match_first data: bts.1.oml-connection-state degraded 05:48:55.647904 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:55.647923 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:55.647942 32 IPA_Emulation.ttcnpp:627 match_list data: bts.1.oml-connection-state degraded 05:48:55.647961 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:55.647979 32 IPA_Emulation.ttcnpp:627 match_begin data: degraded 05:48:55.648000 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:55.648025 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:55.648048 32 IPA_Emulation.ttcnpp:627 match_first data: degraded 05:48:55.648067 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:55.648086 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:55.648105 32 IPA_Emulation.ttcnpp:627 match_list data: degraded 05:48:55.648124 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:55.648143 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "771103229", variable := "bts.1.oml-connection-state", val := "degraded" } } 05:48:55.648183 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771103229", variable := "bts.1.oml-connection-state", val := "degraded" } } 05:48:55.648237 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771103229", variable := "bts.1.oml-connection-state", val := "degraded" } } id 7 05:48:55.648267 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:55.648289 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771103229", variable := "bts.1.oml-connection-state", val := "degraded" } } id 7 05:48:55.648311 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 7 was extracted from the queue of IPA_CTRL. 05:48:55.648333 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 05:48:55.648350 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 05:48:56.648418 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 05:48:56.648511 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-IPA. 05:48:56.658272 36 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:56.658458 36 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: IPA-BTS1-TRX0-RSL-IPA(36), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-IPA. Version: 9.0.0. 05:48:56.658506 36 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:56.658608 36 - Connected to MC. 05:48:56.658646 36 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:56.658740 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 36, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-IPA. 05:48:56.658793 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1235, bts_id := 0, trx_id := 0 } 05:48:56.658824 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1235/0/0" 05:48:56.658845 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-RSL. 05:48:56.661478 36 - Port IPA_PORT was started. 05:48:56.661531 36 - Port CFG_PORT was started. 05:48:56.661553 36 - Port MTP3_SP_PORT was started. 05:48:56.661575 36 - Port IPA_MGCP_PORT was started. 05:48:56.661596 36 - Port IPA_RSL_PORT was started. 05:48:56.661617 36 - Port IPA_OML_PORT was started. 05:48:56.661638 36 - Port IPA_CTRL_PORT was started. 05:48:56.661659 36 - Port IPA_SP_PORT was started. 05:48:56.661680 36 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 05:48:56.664563 37 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:56.664673 37 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: IPA-BTS1-TRX0-RSL-RSL(37), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-RSL. Version: 9.0.0. 05:48:56.664704 37 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:56.664764 37 - Connected to MC. 05:48:56.664791 37 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_stat_num_bts_connected_2. 05:48:56.664842 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 37, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS1-TRX0-RSL-RSL. 05:48:56.664885 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS1-TRX0-RSL-RSL(37):CCHAN_PT and mtc:RSL_CCHAN[1]. 05:48:56.665050 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[1] is waiting for connection from IPA-BTS1-TRX0-RSL-RSL(37):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d47cf91e. 05:48:56.666710 37 - Port IPA_PT was started. 05:48:56.666745 37 - Port CLIENT_PT was started. 05:48:56.666759 37 - Port RSL_PROC was started. 05:48:56.666773 37 - Port CCHAN_PT was started. 05:48:56.666788 37 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 05:48:56.666836 37 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[1] using transport type UNIX. 05:48:56.666914 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[1] has accepted the connection from IPA-BTS1-TRX0-RSL-RSL(37):CCHAN_PT. 05:48:56.666956 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS1-TRX0-RSL-RSL(37):CCHAN_PT and mtc:RSL_CCHAN[1] finished. 05:48:56.666980 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS1-TRX0-RSL-IPA(36):IPA_PORT to system:IPA_CODEC_PT. 05:48:56.667088 36 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 05:48:56.667177 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS1-TRX0-RSL-IPA(36):IPA_PORT to system:IPA_CODEC_PT finished. 05:48:56.667216 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS1-TRX0-RSL-IPA(36):CFG_PORT and mtc:IPA_CFG_PORT[1][0]. 05:48:56.667372 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[1][0] is waiting for connection from IPA-BTS1-TRX0-RSL-IPA(36):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-87558a6e. 05:48:56.667425 36 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[1][0] using transport type UNIX. 05:48:56.667463 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[1][0] has accepted the connection from IPA-BTS1-TRX0-RSL-IPA(36):CFG_PORT. 05:48:56.667489 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS1-TRX0-RSL-IPA(36):CFG_PORT and mtc:IPA_CFG_PORT[1][0] finished. 05:48:56.667509 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS1-TRX0-RSL-IPA(36):IPA_RSL_PORT and IPA-BTS1-TRX0-RSL-RSL(37):IPA_PT. 05:48:56.667636 36 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS1-TRX0-RSL-RSL(37):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-e0ea765. 05:48:56.667708 37 - Port IPA_PT has established the connection with IPA-BTS1-TRX0-RSL-IPA(36):IPA_RSL_PORT using transport type UNIX. 05:48:56.667751 36 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS1-TRX0-RSL-RSL(37):IPA_PT. 05:48:56.667777 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS1-TRX0-RSL-IPA(36):IPA_RSL_PORT and IPA-BTS1-TRX0-RSL-RSL(37):IPA_PT finished. 05:48:56.667808 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.115.20", 3003, "", 11000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1235/0/0", osmo_rand := "" }, true) on component IPA-BTS1-TRX0-RSL-IPA(36). 05:48:56.667877 mtc BSC_Tests.ttcn:999 Function was started. 05:48:56.667898 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS1-TRX0-RSL-RSL(37). 05:48:56.667947 mtc BSC_Tests.ttcn:1001 Function was started. 05:48:56.667973 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 05:48:56.668004 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.305152. 05:48:56.668016 37 - Starting function main(true). 05:48:56.668051 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "305152486", variable := "bts.1.oml-connection-state", val := omit } } 05:48:56.668086 36 - Starting function main_client("172.18.115.20", 3003, "", 11000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1235/0/0", osmo_rand := "" }, true). 05:48:56.668090 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:56.668134 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "305152486", variable := "bts.1.oml-connection-state", val := omit } } id 5 05:48:56.668219 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:56.668250 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "305152486", variable := "bts.1.oml-connection-state", val := omit } } id 5 05:48:56.668275 32 IPA_Emulation.ttcnpp:879 Message with id 5 was extracted from the queue of IPA_CTRL_PORT. 05:48:56.668297 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "305152486", variable := "bts.1.oml-connection-state", val := omit } } 05:48:56.668328 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 305152486 bts.1.oml-connection-state" 05:48:56.668382 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 305152486 bts.1.oml-connection-state") } 05:48:56.668412 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 305152486 bts.1.oml-connection-state") } 05:48:56.668442 36 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :11000 -> 172.18.115.20:3003 / TCP 05:48:56.668456 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:56.668490 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:56.668717 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 7 05:48:56.668747 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 05:48:56.668791 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 305152486 bts.1.oml-connection-state degraded") } 05:48:56.668838 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 305152486 bts.1.oml-connection-state degraded") } id 7 05:48:56.668864 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.668895 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333035313532343836206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 305152486 bts.1.oml-connection-state degraded") } id 7 05:48:56.668932 32 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 05:48:56.668955 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 305152486 bts.1.oml-connection-state degraded" 05:48:56.668981 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 305152486 bts.1.oml-connection-state degraded 05:48:56.669001 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:56.669021 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:56.669051 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 305152486 bts.1.oml-connection-state degraded 05:48:56.669071 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:56.669094 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:56.669114 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:56.669133 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 305152486 bts.1.oml-connection-state degraded 05:48:56.669152 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.669172 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:56.669199 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 305152486 bts.1.oml-connection-state degraded 05:48:56.669219 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:56.669242 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:56.669261 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:56.669281 32 IPA_Emulation.ttcnpp:627 match_begin data: 305152486 bts.1.oml-connection-state degraded 05:48:56.669300 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.669319 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.669339 32 IPA_Emulation.ttcnpp:627 match_first data: 305152486 bts.1.oml-connection-state degraded 05:48:56.669358 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.669378 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:56.669397 32 IPA_Emulation.ttcnpp:627 match_list data: 305152486 bts.1.oml-connection-state degraded 05:48:56.669416 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:56.669435 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.1.oml-connection-state degraded 05:48:56.669454 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.669474 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.669493 32 IPA_Emulation.ttcnpp:627 match_first data: bts.1.oml-connection-state degraded 05:48:56.669512 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.669532 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:56.669550 32 IPA_Emulation.ttcnpp:627 match_list data: bts.1.oml-connection-state degraded 05:48:56.669570 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:56.669589 32 IPA_Emulation.ttcnpp:627 match_begin data: degraded 05:48:56.669608 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.669627 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.669646 32 IPA_Emulation.ttcnpp:627 match_first data: degraded 05:48:56.669665 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.669685 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:56.669715 32 IPA_Emulation.ttcnpp:627 match_list data: degraded 05:48:56.669739 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:56.669759 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "305152486", variable := "bts.1.oml-connection-state", val := "degraded" } } 05:48:56.669789 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "305152486", variable := "bts.1.oml-connection-state", val := "degraded" } } 05:48:56.669849 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "305152486", variable := "bts.1.oml-connection-state", val := "degraded" } } id 8 05:48:56.669899 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:56.669932 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "305152486", variable := "bts.1.oml-connection-state", val := "degraded" } } id 8 05:48:56.669962 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 8 was extracted from the queue of IPA_CTRL. 05:48:56.669992 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 05:48:56.670131 36 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:56.670326 36 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 05:48:56.670532 36 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:56.670669 36 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 05:48:56.670770 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:56.670816 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 05:48:56.670886 36 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 05:48:56.671274 36 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 05:48:56.671645 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:56.671921 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 05:48:56.671964 37 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 05:48:56.672003 37 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 05:48:56.672082 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 05:48:56.672119 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 05:48:56.672235 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 05:48:56.672260 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 05:48:56.672291 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 05:48:56.672304 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 05:48:56.672316 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 05:48:56.672328 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:56.672395 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 05:48:56.672420 36 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 05:48:56.672434 36 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 05:48:56.672446 36 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 05:48:56.672482 36 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 05:48:56.672506 36 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 05:48:56.672719 36 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233352F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 05:48:56.672772 36 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233352F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 05:48:56.672791 36 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233352F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 05:48:56.672879 36 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233352F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:56.672901 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233352F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:56.672932 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233352F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 05:48:56.672957 36 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233352F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 05:48:56.673002 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 05:48:56.673018 36 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 05:48:56.673032 36 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 05:48:56.673043 36 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 05:48:56.673055 36 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:56.673065 36 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 05:48:56.673087 36 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 05:48:56.673129 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 05:48:56.673284 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 05:48:56.673315 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 05:48:56.673335 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 05:48:56.673355 37 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 05:48:56.673375 37 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 05:48:56.674392 37 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 05:48:56.675116 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 05:48:56.675268 36 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 05:48:56.675292 36 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS1-TRX0-RSL-RSL(37): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 05:48:56.675317 36 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 05:48:56.675360 36 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 05:48:56.675588 36 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 05:48:56.675627 36 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 05:48:56.675640 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 05:48:56.675654 36 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 05:48:56.675672 36 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 05:48:56.675725 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:56.675741 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O 05:48:56.675756 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } 05:48:56.675772 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:56.675787 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:56.675798 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O 05:48:56.675812 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } 05:48:56.675830 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:56.675844 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 05:48:56.675855 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 05:48:56.675867 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 05:48:56.675879 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 05:48:56.675894 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:56.675905 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 05:48:56.675918 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 05:48:56.675933 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:56.675947 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:56.675967 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 05:48:56.675981 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 05:48:56.675996 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:56.676011 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O } id 8 05:48:56.676022 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O 05:48:56.676035 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O } 05:48:56.676050 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O } id 8 05:48:56.676064 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O } id 9 05:48:56.676075 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O 05:48:56.676088 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O } 05:48:56.676106 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O } id 9 05:48:56.676120 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:56.676132 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 05:48:56.676145 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 05:48:56.676160 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:56.676174 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:56.676189 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 05:48:56.676203 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 05:48:56.676217 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:56.676231 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 05:48:56.676242 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 05:48:56.676253 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 05:48:56.676266 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 05:48:56.676280 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:56.676291 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 05:48:56.676304 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 05:48:56.676321 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:56.676337 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000100F110000197FF2B'O } id 14 05:48:56.676348 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000100F110000197FF2B'O 05:48:56.676361 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000100F110000197FF2B'O } 05:48:56.676375 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000100F110000197FF2B'O } id 14 05:48:56.676391 36 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 3003, locName := "172.18.115.203", locPort := 11000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:56.676402 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 05:48:56.676417 36 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 05:48:56.676443 36 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:56.676496 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.676510 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.676523 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 05:48:56.676535 36 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 05:48:56.676568 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O 05:48:56.676845 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } 05:48:56.677452 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 05:48:56.677574 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.677588 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.677600 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 05:48:56.677612 36 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 05:48:56.677623 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O 05:48:56.677644 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } 05:48:56.677689 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 05:48:56.677724 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.677738 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.677750 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 05:48:56.677761 36 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 05:48:56.677772 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 05:48:56.677787 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 05:48:56.677816 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 05:48:56.677835 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.677848 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.677860 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 05:48:56.677871 36 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 05:48:56.677882 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 05:48:56.677900 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 05:48:56.677934 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 05:48:56.677954 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.677971 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.677984 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 05:48:56.677995 36 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 05:48:56.678006 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 05:48:56.678024 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 05:48:56.678033 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 05:48:56.678061 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 05:48:56.678081 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678094 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678106 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O } id 8 05:48:56.678117 36 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 05:48:56.678128 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000100F1100001C9031E174740E504000C132B2B'O 05:48:56.678146 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } 05:48:56.678156 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.678178 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.678179 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } } 05:48:56.678196 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.678200 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678218 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678230 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O } id 9 05:48:56.678242 36 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 05:48:56.678252 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5040064306367012B2B2B2B2B'O 05:48:56.678270 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } 05:48:56.678308 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } } 05:48:56.678329 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678344 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678356 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 05:48:56.678367 36 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 05:48:56.678378 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 05:48:56.678396 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 05:48:56.678430 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 05:48:56.678450 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678463 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678482 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 05:48:56.678494 36 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 05:48:56.678504 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 05:48:56.678534 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 05:48:56.678544 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.678595 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 05:48:56.678617 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678630 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678641 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 05:48:56.678643 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.678652 36 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 05:48:56.678663 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 05:48:56.678676 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 05:48:56.678698 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 05:48:56.678701 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.678716 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678725 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.678728 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678740 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 05:48:56.678742 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 05:48:56.678752 36 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 05:48:56.678756 37 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 05:48:56.678762 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 05:48:56.678778 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 05:48:56.678812 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 05:48:56.678832 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678836 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 05:48:56.678844 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678856 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000100F110000197FF2B'O } id 14 05:48:56.678867 36 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 05:48:56.678878 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000100F110000197FF2B'O 05:48:56.678893 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } 05:48:56.678920 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } } 05:48:56.678940 36 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 05:48:56.678952 36 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.678965 36 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 05:48:56.678965 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 1 05:48:56.678976 36 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 05:48:56.678985 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 05:48:56.678987 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 05:48:56.679026 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 05:48:56.679048 36 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 05:48:56.679049 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 05:48:56.679069 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 05:48:56.679087 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 05:48:56.679108 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } } id 9 05:48:56.679127 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 05:48:56.679157 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 05:48:56.679179 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 05:48:56.679196 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 05:48:56.679197 36 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 05:48:56.679212 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } } id 14 05:48:56.679227 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679238 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679250 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679279 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.679310 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.679334 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.679367 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.679384 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 05:48:56.679402 37 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 05:48:56.679419 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 05:48:56.679439 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679454 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679464 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 2 05:48:56.679468 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679568 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:56.679604 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.679623 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.679638 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.679652 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 05:48:56.679665 37 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 05:48:56.679679 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 05:48:56.679698 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679718 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 05:48:56.679719 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679734 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679769 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.679807 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.679826 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.679840 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.679856 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 05:48:56.679869 37 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 05:48:56.679885 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 05:48:56.679905 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679920 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679927 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 05:48:56.679934 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.679970 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.680015 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.680035 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.680050 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.680066 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 05:48:56.680082 37 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 05:48:56.680098 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 05:48:56.680119 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680134 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680142 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 05:48:56.680148 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680184 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.680228 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.680247 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.680262 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.680278 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 05:48:56.680292 37 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 05:48:56.680308 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } } 05:48:56.680329 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680345 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680354 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000100F1100001C9031E174740E504000C132B2B'O } } } } } } id 6 05:48:56.680360 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680396 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.680432 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.680456 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.680471 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.680487 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } } id 9 05:48:56.680500 37 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 05:48:56.680516 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } } 05:48:56.680536 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680551 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680558 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064306367012B2B2B2B2B'O } } } } } } id 7 05:48:56.680565 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680601 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.680636 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.680654 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 05:48:56.680669 37 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 05:48:56.680690 37 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 05:48:56.680704 37 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 05:48:56.680720 37 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 05:48:56.680739 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680754 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680761 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 05:48:56.680768 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680803 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:56.680838 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.680861 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:56.680876 37 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 05:48:56.680889 37 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 05:48:56.680904 37 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 05:48:56.680930 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680945 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680949 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 05:48:56.680959 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.680992 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.681024 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.681044 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:56.681058 37 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 05:48:56.681070 37 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 05:48:56.681084 37 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 05:48:56.681102 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681117 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681118 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 05:48:56.681131 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681165 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:56.681197 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.681222 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:56.681237 37 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 05:48:56.681251 37 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 05:48:56.681265 37 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 05:48:56.681284 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681298 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681303 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 05:48:56.681312 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681347 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 05:48:56.681382 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.681401 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:56.681416 37 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } } id 14 05:48:56.681429 37 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 05:48:56.681443 37 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } } 05:48:56.681487 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000100F110000197FF2B'O } } } } } } id 12 05:48:56.681569 37 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS1-TRX0-RSL-IPA(36) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 05:48:56.681596 37 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681608 37 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681618 37 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 05:48:56.681648 37 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 05:48:56.681681 37 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 05:48:56.681700 37 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 05:48:56.681725 37 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS1-TRX0-RSL-IPA(36): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 05:48:56.681745 37 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 05:48:56.681762 37 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 05:48:56.681813 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[1] from IPA-BTS1-TRX0-RSL-RSL(37) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 05:48:56.770019 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 05:48:56.770046 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.281716. 05:48:56.770070 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "281715977", variable := "bts.1.oml-connection-state", val := omit } } 05:48:56.770093 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:56.770123 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "281715977", variable := "bts.1.oml-connection-state", val := omit } } id 6 05:48:56.770187 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:56.770231 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "281715977", variable := "bts.1.oml-connection-state", val := omit } } id 6 05:48:56.770258 32 IPA_Emulation.ttcnpp:879 Message with id 6 was extracted from the queue of IPA_CTRL_PORT. 05:48:56.770282 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "281715977", variable := "bts.1.oml-connection-state", val := omit } } 05:48:56.770315 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 281715977 bts.1.oml-connection-state" 05:48:56.770363 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 281715977 bts.1.oml-connection-state") } 05:48:56.770393 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 281715977 bts.1.oml-connection-state") } 05:48:56.770439 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 05:48:56.770477 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 05:48:56.770690 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 8 05:48:56.770724 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 05:48:56.770765 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 281715977 bts.1.oml-connection-state connected") } 05:48:56.770826 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 281715977 bts.1.oml-connection-state connected") } id 8 05:48:56.770857 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:56.770893 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920323831373135393737206274732E312E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 281715977 bts.1.oml-connection-state connected") } id 8 05:48:56.770921 32 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 05:48:56.770946 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 281715977 bts.1.oml-connection-state connected" 05:48:56.770976 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 281715977 bts.1.oml-connection-state connected 05:48:56.770999 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:56.771022 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:56.771063 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 281715977 bts.1.oml-connection-state connected 05:48:56.771086 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:56.771113 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:56.771135 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:56.771158 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 281715977 bts.1.oml-connection-state connected 05:48:56.771180 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.771203 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:56.771231 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 281715977 bts.1.oml-connection-state connected 05:48:56.771253 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:56.771280 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:56.771303 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:56.771326 32 IPA_Emulation.ttcnpp:627 match_begin data: 281715977 bts.1.oml-connection-state connected 05:48:56.771348 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.771371 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.771393 32 IPA_Emulation.ttcnpp:627 match_first data: 281715977 bts.1.oml-connection-state connected 05:48:56.771415 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.771438 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:56.771460 32 IPA_Emulation.ttcnpp:627 match_list data: 281715977 bts.1.oml-connection-state connected 05:48:56.771483 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:56.771505 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.1.oml-connection-state connected 05:48:56.771527 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.771550 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.771573 32 IPA_Emulation.ttcnpp:627 match_first data: bts.1.oml-connection-state connected 05:48:56.771601 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.771631 32 IPA_Emulation.ttcnpp:627 match_first result: 26 05:48:56.771660 32 IPA_Emulation.ttcnpp:627 match_list data: bts.1.oml-connection-state connected 05:48:56.771690 32 IPA_Emulation.ttcnpp:627 match_list result: 26 05:48:56.771719 32 IPA_Emulation.ttcnpp:627 match_begin data: connected 05:48:56.771748 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:56.771777 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:56.771801 32 IPA_Emulation.ttcnpp:627 match_first data: connected 05:48:56.771823 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:56.771846 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:56.771871 32 IPA_Emulation.ttcnpp:627 match_list data: connected 05:48:56.771896 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:56.771919 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "281715977", variable := "bts.1.oml-connection-state", val := "connected" } } 05:48:56.771958 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "281715977", variable := "bts.1.oml-connection-state", val := "connected" } } 05:48:56.772009 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "281715977", variable := "bts.1.oml-connection-state", val := "connected" } } id 9 05:48:56.772030 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:56.772044 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "281715977", variable := "bts.1.oml-connection-state", val := "connected" } } id 9 05:48:56.772057 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 9 was extracted from the queue of IPA_CTRL. 05:48:56.772069 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 05:48:56.772081 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 05:48:57.772253 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 05:48:57.772358 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 05:48:57.772435 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.772651 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 30 05:48:57.772733 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.772786 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:57.772842 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 05:48:57.772869 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 30 05:48:57.772897 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 30 was extracted from the queue of BSCVTY. 05:48:57.772924 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.772949 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 05:48:57.772998 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.773118 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 31 05:48:57.773187 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.773233 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:57.773284 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 05:48:57.773311 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 31 05:48:57.773335 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 31 was extracted from the queue of BSCVTY. 05:48:57.773360 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.773387 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 1" 05:48:57.773435 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.773562 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 32 05:48:57.773614 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.773659 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:57.773734 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 05:48:57.773765 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 32 05:48:57.773791 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 32 was extracted from the queue of BSCVTY. 05:48:57.773815 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.773842 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 05:48:57.773890 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.774080 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 33 05:48:57.774160 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.774210 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:57.774265 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 05:48:57.774292 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 33 05:48:57.774317 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 33 was extracted from the queue of BSCVTY. 05:48:57.774342 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.774368 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 05:48:57.774421 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.774563 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 34 05:48:57.774644 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.774699 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:57.774725 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 34 05:48:57.774750 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 34 was extracted from the queue of BSCVTY. 05:48:57.774775 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.774813 mtc Osmocom_VTY_Functions.ttcn:333 "TC_stat_num_bts_connected_2() start" 05:48:57.774858 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_stat_num_bts_connected_2() start" 05:48:57.774911 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:57.775209 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 35 05:48:57.775295 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:57.775348 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:57.775375 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 35 05:48:57.775400 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 35 was extracted from the queue of BSCVTY. 05:48:57.775426 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:57.775454 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 05:48:58.775640 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 05:48:58.775739 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_stat_num_bts_connected_2. 05:48:58.787081 38 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 05:48:58.787360 38 - TTCN-3 Parallel Test Component started on 440259d8eaa7. Component reference: TC_stat_num_bts_connected_2(38), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_stat_num_bts_connected_2. Version: 9.0.0. 05:48:58.787405 38 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 05:48:58.787497 38 - Connected to MC. 05:48:58.787531 38 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_stat_num_bts_connected_2. 05:48:58.787906 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 38, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_stat_num_bts_connected_2. 05:48:58.787960 mtc BSC_Tests.ttcn:4011 Connecting ports TC_stat_num_bts_connected_2(38):RAN and VirtMSC-RAN(29):PROC. 05:48:58.788218 29 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_stat_num_bts_connected_2(38):RAN on UNIX pathname /tmp/ttcn3-portconn-585bb618. 05:48:58.791131 38 - Port BSSAP_LE was started. 05:48:58.791172 38 - Port BSSAP_LE_PROC was started. 05:48:58.791187 38 - Port STATSD_PROC was started. 05:48:58.791201 38 - Port MGCP was started. 05:48:58.791215 38 - Port MGCP_MULTI was started. 05:48:58.791229 38 - Port MGCP_PROC was started. 05:48:58.791243 38 - Port BSSAP was started. 05:48:58.791257 38 - Port BSSAP_PROC was started. 05:48:58.791270 38 - Port RSL was started. 05:48:58.791285 38 - Port RSL_PROC was started. 05:48:58.791298 38 - Port RSL1 was started. 05:48:58.791312 38 - Port RSL1_PROC was started. 05:48:58.791326 38 - Port RSL2 was started. 05:48:58.791340 38 - Port RSL2_PROC was started. 05:48:58.791353 38 - Port RAN was started. 05:48:58.791367 38 - Port BSCVTY was started. 05:48:58.791381 38 - Port COORD was started. 05:48:58.791395 38 - Port COORD2 was started. 05:48:58.791409 38 - Port MGCP_MSC_CLIENT was started. 05:48:58.791423 38 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 05:48:58.791472 38 - Port RAN has established the connection with VirtMSC-RAN(29):PROC using transport type UNIX. 05:48:58.791657 29 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_stat_num_bts_connected_2(38):RAN. 05:48:58.791730 mtc BSC_Tests.ttcn:4011 Connect operation on TC_stat_num_bts_connected_2(38):RAN and VirtMSC-RAN(29):PROC finished. 05:48:58.791790 mtc BSC_Tests.ttcn:4012 Connecting ports TC_stat_num_bts_connected_2(38):RSL and IPA-BTS0-TRX0-RSL-RSL(35):CLIENT_PT. 05:48:58.792044 35 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_stat_num_bts_connected_2(38):RSL on UNIX pathname /tmp/ttcn3-portconn-10fe2f. 05:48:58.792120 38 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(35):CLIENT_PT using transport type UNIX. 05:48:58.792289 35 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_stat_num_bts_connected_2(38):RSL. 05:48:58.792350 mtc BSC_Tests.ttcn:4012 Connect operation on TC_stat_num_bts_connected_2(38):RSL and IPA-BTS0-TRX0-RSL-RSL(35):CLIENT_PT finished. 05:48:58.792409 mtc BSC_Tests.ttcn:4013 Connecting ports TC_stat_num_bts_connected_2(38):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(35):RSL_PROC. 05:48:58.792619 35 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_stat_num_bts_connected_2(38):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-5957a868. 05:48:58.792695 38 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(35):RSL_PROC using transport type UNIX. 05:48:58.792785 35 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_stat_num_bts_connected_2(38):RSL_PROC. 05:48:58.792825 mtc BSC_Tests.ttcn:4013 Connect operation on TC_stat_num_bts_connected_2(38):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(35):RSL_PROC finished. 05:48:58.792943 mtc BSC_Tests.ttcn:4015 Connecting ports TC_stat_num_bts_connected_2(38):RSL1 and IPA-BTS1-TRX0-RSL-RSL(37):CLIENT_PT. 05:48:58.793139 37 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_stat_num_bts_connected_2(38):RSL1 on UNIX pathname /tmp/ttcn3-portconn-610fe18. 05:48:58.793177 38 - Port RSL1 has established the connection with IPA-BTS1-TRX0-RSL-RSL(37):CLIENT_PT using transport type UNIX. 05:48:58.793336 37 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_stat_num_bts_connected_2(38):RSL1. 05:48:58.793376 mtc BSC_Tests.ttcn:4015 Connect operation on TC_stat_num_bts_connected_2(38):RSL1 and IPA-BTS1-TRX0-RSL-RSL(37):CLIENT_PT finished. 05:48:58.793436 mtc BSC_Tests.ttcn:4016 Connecting ports TC_stat_num_bts_connected_2(38):RSL1_PROC and IPA-BTS1-TRX0-RSL-RSL(37):RSL_PROC. 05:48:58.793624 37 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_stat_num_bts_connected_2(38):RSL1_PROC on UNIX pathname /tmp/ttcn3-portconn-1355b50c. 05:48:58.793699 38 - Port RSL1_PROC has established the connection with IPA-BTS1-TRX0-RSL-RSL(37):RSL_PROC using transport type UNIX. 05:48:58.793803 37 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_stat_num_bts_connected_2(38):RSL1_PROC. 05:48:58.793847 mtc BSC_Tests.ttcn:4016 Connect operation on TC_stat_num_bts_connected_2(38):RSL1_PROC and IPA-BTS1-TRX0-RSL-RSL(37):RSL_PROC finished. 05:48:58.793917 mtc BSC_Tests.ttcn:4022 Connecting ports TC_stat_num_bts_connected_2(38):BSSAP and VirtMSC-RAN(29):CLIENT. 05:48:58.794158 29 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_stat_num_bts_connected_2(38):BSSAP on UNIX pathname /tmp/ttcn3-portconn-1e4fe104. 05:48:58.794233 38 - Port BSSAP has established the connection with VirtMSC-RAN(29):CLIENT using transport type UNIX. 05:48:58.794305 29 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_stat_num_bts_connected_2(38):BSSAP. 05:48:58.794360 mtc BSC_Tests.ttcn:4022 Connect operation on TC_stat_num_bts_connected_2(38):BSSAP and VirtMSC-RAN(29):CLIENT finished. 05:48:58.794415 mtc BSC_Tests.ttcn:4027 Connecting ports TC_stat_num_bts_connected_2(38):STATSD_PROC and VirtMSC-STATS(27):STATSD_PROC. 05:48:58.794672 27 StatsD_Checker.ttcn:119 Port STATSD_PROC is waiting for connection from TC_stat_num_bts_connected_2(38):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-1957a818. 05:48:58.794714 38 - Port STATSD_PROC has established the connection with VirtMSC-STATS(27):STATSD_PROC using transport type UNIX. 05:48:58.794800 27 StatsD_Checker.ttcn:119 Port STATSD_PROC has accepted the connection from TC_stat_num_bts_connected_2(38):STATSD_PROC. 05:48:58.794854 mtc BSC_Tests.ttcn:4027 Connect operation on TC_stat_num_bts_connected_2(38):STATSD_PROC and VirtMSC-STATS(27):STATSD_PROC finished. 05:48:58.794910 mtc BSC_Tests.ttcn:4028 Connecting ports TC_stat_num_bts_connected_2(38):MGCP_PROC and VirtMGW-MGCP-0(33):MGCP_PROC. 05:48:58.795148 33 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_stat_num_bts_connected_2(38):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-b53ac3a. 05:48:58.795184 38 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(33):MGCP_PROC using transport type UNIX. 05:48:58.795297 33 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_stat_num_bts_connected_2(38):MGCP_PROC. 05:48:58.795322 mtc BSC_Tests.ttcn:4028 Connect operation on TC_stat_num_bts_connected_2(38):MGCP_PROC and VirtMGW-MGCP-0(33):MGCP_PROC finished. 05:48:58.795352 mtc BSC_Tests.ttcn:4029 Connecting ports TC_stat_num_bts_connected_2(38):MGCP and VirtMGW-MGCP-0(33):MGCP_CLIENT. 05:48:58.795559 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_stat_num_bts_connected_2(38):MGCP on UNIX pathname /tmp/ttcn3-portconn-1149a373. 05:48:58.795594 38 - Port MGCP has established the connection with VirtMGW-MGCP-0(33):MGCP_CLIENT using transport type UNIX. 05:48:58.795687 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_stat_num_bts_connected_2(38):MGCP. 05:48:58.795709 mtc BSC_Tests.ttcn:4029 Connect operation on TC_stat_num_bts_connected_2(38):MGCP and VirtMGW-MGCP-0(33):MGCP_CLIENT finished. 05:48:58.795737 mtc BSC_Tests.ttcn:4030 Connecting ports TC_stat_num_bts_connected_2(38):MGCP_MULTI and VirtMGW-MGCP-0(33):MGCP_CLIENT_MULTI. 05:48:58.795942 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_stat_num_bts_connected_2(38):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-1101a33b. 05:48:58.795975 38 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(33):MGCP_CLIENT_MULTI using transport type UNIX. 05:48:58.796060 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_stat_num_bts_connected_2(38):MGCP_MULTI. 05:48:58.796082 mtc BSC_Tests.ttcn:4030 Connect operation on TC_stat_num_bts_connected_2(38):MGCP_MULTI and VirtMGW-MGCP-0(33):MGCP_CLIENT_MULTI finished. 05:48:58.796123 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_stat_num_bts_connected_2), "TC_stat_num_bts_connected_2", omit) on component TC_stat_num_bts_connected_2(38). 05:48:58.796200 mtc BSC_Tests.ttcn:4052 Function was started. 05:48:58.796391 38 - Starting function f_handler_init(refers(BSC_Tests.f_tc_stat_num_bts_connected_2), "TC_stat_num_bts_connected_2", omit). 05:48:58.797316 38 Osmocom_Types.ttcn:65 Random number generator was initialized with seed 47.797310: srand48(-1942584944). 05:48:58.797353 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.156615. 05:48:58.797448 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.224187. 05:48:58.797470 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.336054. 05:48:58.797487 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.614408. 05:48:58.797505 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.636765. 05:48:58.797522 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.604539. 05:48:58.797539 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.149419. 05:48:58.797556 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.459818. 05:48:58.797573 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.559047. 05:48:58.797590 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.982784. 05:48:58.797624 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.218726. 05:48:58.797643 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.319362. 05:48:58.797670 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.980925. 05:48:58.797688 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.636146. 05:48:58.797739 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.135961. 05:48:58.797777 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.511562. 05:48:58.797795 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.317016. 05:48:58.797814 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.079266. 05:48:58.797831 38 Osmocom_Types.ttcn:65 Function rnd() returned 0.161953. 05:48:58.797866 38 BSC_Tests.ttcn:768 "TC_stat_num_bts_connected_2": using IMSI '001011236661459'H 05:48:58.798461 38 StatsD_Checker.ttcn:274 Called on STATSD_PROC to VirtMSC-STATS(27) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bsc.0.num_bts.oml_connected", mtype := "g", min := 2, max := 4 }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_bts.total", mtype := "g", min := 4, max := 4 }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_trx.total", mtype := "g", min := 7, max := 7 } } } 05:48:58.798829 27 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from TC_stat_num_bts_connected_2(38) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bsc.0.num_bts.oml_connected", mtype := "g", min := 2, max := 4 }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_bts.total", mtype := "g", min := 4, max := 4 }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_trx.total", mtype := "g", min := 7, max := 7 } } } id 1 05:48:58.798928 27 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 05:48:58.798997 27 StatsD_Checker.ttcn:124 Matching on port STATSD_PROC succeeded: { expects := matched } 05:48:58.799097 27 StatsD_Checker.ttcn:124 Getcall operation on port STATSD_PROC succeeded, call from TC_stat_num_bts_connected_2(38): @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bsc.0.num_bts.oml_connected", mtype := "g", min := 2, max := 4 }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_bts.total", mtype := "g", min := 4, max := 4 }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", mtype := "g", min := 2, max := 2 }, { name := "TTCN3.bsc.0.num_trx.total", mtype := "g", min := 7, max := 7 } } } id 1 05:48:58.799144 27 StatsD_Checker.ttcn:124 Operation with id 1 was extracted from the queue of STATSD_PROC. 05:48:58.799684 27 StatsD_Checker.ttcn:190 Port STATS was cleared. 05:48:58.799716 27 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 05:48:58.799789 27 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:58.800554 27 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6D73632E302E6273736D61702E72782E7564742E72657365742E61636B3A307C630A5454434E332E6D73632E302E6273736D61702E72782E7564742E72657365742E726571756573743A317C630A5454434E332E6D73632E302E6273736D61702E72782E7564742E706167696E673A307C630A5454434E332E6D73632E302E6273736D61702E72782E7564742E6572725F756E6B6E6F776E3A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E636C6561722E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E6369706865725F6D6F64652E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E61737369676E6D656E742E727173743A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E6C636C735F636F6E6E6563745F6374726C2E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E68616E646F7665722E727173743A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E68616E646F7665722E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E636C6173736D61726B2E727173743A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E636F6E667573696F6E3A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E636F6D6D6F6E5F69643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E6572725F756E6B6E6F776E3A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E647461702E676F6F643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E647461702E6572726F723A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E6C6F636174696F6E2E726571756573743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E6C6F636174696F6E2E61626F72743A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E766763735F7662735F73657475703A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E766763735F7662735F61737369676E6D656E742E7265713A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E75706C696E6B5F727173742E61636B3A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E75706C696E6B5F72656A6563742E636D643A307C63'O ("TTCN3.msc.0.bssmap.rx.udt.reset.ack:0|c\nTTCN3.msc.0.bssmap.rx.udt.reset.request:1|c\nTTCN3.msc.0.bssmap.rx.udt.paging:0|c\nTTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c\nTTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.confusion:0|c\nTTCN3.msc.0.bssmap.rx.dt1.common_id:0|c\nTTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c\nTTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c\nTTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c\nTTCN3.msc.0.bssmap.rx.dt1.location.request:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c") } id 1 05:48:58.800839 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.msc.0.bssmap.rx.udt.reset.ack:0|c\nTTCN3.msc.0.bssmap.rx.udt.reset.request:1|c\nTTCN3.msc.0.bssmap.rx.udt.paging:0|c\nTTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c\nTTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c\nTTCN3.msc.0.bssmap.rx.dt1.confusion:0|c\nTTCN3.msc.0.bssmap.rx.dt1.common_id:0|c\nTTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c\nTTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c\nTTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c\nTTCN3.msc.0.bssmap.rx.dt1.location.request:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c" 05:48:58.801123 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.udt.reset.ack:0|c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801156 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.801178 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.801199 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801219 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.801240 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.801294 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801317 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.801345 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.801365 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.801428 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801450 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.801472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.801493 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.801514 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801545 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.801567 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.801587 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801607 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.801654 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801677 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.801749 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.801903 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.801928 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.801965 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.udt.reset.request:1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802013 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.802036 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.802056 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802077 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.802098 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.802120 27 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802140 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.802162 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.802183 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.802205 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802233 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.802255 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.802275 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.802295 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802316 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.802336 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.802357 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802377 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.802397 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802417 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.802441 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802470 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.802491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.802512 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.udt.paging:0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802532 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.802553 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.802573 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802593 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.802613 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.802634 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802654 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.802676 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.802696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.802717 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802744 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.802766 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.802786 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.802806 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802826 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.802847 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.802867 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802887 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.802907 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802934 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.802954 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.802982 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.803002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803023 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.udt.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803043 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.803063 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.803083 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803103 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.803123 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803144 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803164 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.803186 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.803206 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.803227 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803255 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.803276 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.803296 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803316 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803336 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.803357 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.803377 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803397 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.803417 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803437 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.803457 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803484 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.803505 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803525 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.clear.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803545 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.803566 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.803586 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803610 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.803630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803651 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803671 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.803693 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.803713 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.803735 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803764 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.803785 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.803805 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.803825 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803851 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.803871 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.803891 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803911 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.803931 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.803951 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.803972 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804000 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.804020 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804041 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804061 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.804081 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.804101 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804121 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.804141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804162 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804182 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.804203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.804223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.804245 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804265 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.804286 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.804306 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804326 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804353 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.804374 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.804394 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804414 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.804434 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804454 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.804475 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804495 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.804516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804536 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804564 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.804584 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.804604 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804624 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.804645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804666 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804686 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.804707 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.804728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.804749 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804769 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.804790 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.804810 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.804830 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804850 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.804871 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.804890 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804918 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.804938 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.804959 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.804979 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805000 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.805020 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805041 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805061 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.805081 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.805101 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805121 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.805141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805162 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805193 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.805215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.805236 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.805257 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805277 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.805298 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.805318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805338 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805358 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.805379 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.805399 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805419 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.805439 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805460 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.805480 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805508 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.805529 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805549 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.handover.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805569 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.805590 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.805610 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805630 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.805650 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805671 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805691 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.805722 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.805743 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.805764 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805789 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.805810 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.805830 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.805850 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805878 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.805899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.805919 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805939 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.805959 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.805980 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.806000 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806020 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.806040 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806060 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.handover.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806080 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.806101 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.806121 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806141 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.806161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806189 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806210 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.806232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.806252 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.806274 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806294 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.806315 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.806335 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806355 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806375 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.806396 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.806416 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806436 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.806456 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806476 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.806497 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806524 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.806545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806566 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst:0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806586 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.806606 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.806626 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806647 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.806667 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806688 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806708 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.806729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.806749 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.806771 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806791 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.806812 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.806832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.806852 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806872 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.806892 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.806912 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806939 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.806960 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.806980 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.807000 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807020 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.807041 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807061 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.confusion:0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807081 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.807102 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.807122 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807148 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.807168 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807189 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.807231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.807251 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.807273 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807300 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.807322 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.807342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807362 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807382 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.807403 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.807423 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807442 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.807462 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807483 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.807503 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807523 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.807544 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807564 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.common_id:0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807583 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.807604 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.807624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807649 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.807670 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807691 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807718 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.807740 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.807760 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.807781 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807801 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.807823 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.807843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.807863 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807883 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.807903 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.807923 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807943 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.807963 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.807983 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.808004 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808024 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.808044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808065 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.err_unknown:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808085 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.808105 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.808136 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808156 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.808177 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808198 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808217 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.808239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.808260 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.808281 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808301 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.808323 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.808346 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808368 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808388 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.808408 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.808428 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808448 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.808469 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808489 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.808509 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808529 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.808550 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808571 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.dtap.good:0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808598 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.808619 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.808639 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808659 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.808680 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808701 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808721 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.808742 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.808762 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.808784 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808804 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.808825 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.808845 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.808865 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808885 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.808906 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.808926 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808946 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.808966 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.808986 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.809007 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809027 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.809047 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809067 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.dtap.error:0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.809116 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.809136 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809156 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.809177 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809198 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809217 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.809239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.809259 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.809281 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809301 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.809322 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.809342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809362 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809382 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.809402 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.809422 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809442 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.809462 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809491 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.809511 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809531 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.809551 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809572 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.location.request:0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809598 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.809619 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.809639 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809659 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.809679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809700 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809747 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.809770 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.809791 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.809813 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809833 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.809855 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.809875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.809895 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809916 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.809936 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.809956 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.809977 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.809997 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810018 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.810038 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810058 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.810078 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810099 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.location.abort:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810118 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.810139 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.810159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810179 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.810199 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810220 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810248 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.810270 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810291 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810312 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810332 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.810353 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810373 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810393 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810413 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.810433 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810453 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810473 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.810491 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810503 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.810514 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810524 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.810537 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810548 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810558 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.810568 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.810578 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810588 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.810599 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810609 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810619 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.810630 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810641 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810652 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810662 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.810673 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810683 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810693 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810703 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.810714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810728 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810738 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.810748 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810759 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.810769 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810779 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.810789 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810799 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810810 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.810820 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.810830 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810840 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.810850 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810861 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810871 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.810882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810892 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810903 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810913 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.810923 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.810934 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.810944 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810954 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.810964 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.810974 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.810984 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.810994 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811005 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.811015 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811025 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.811035 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811046 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811056 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.811066 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.811076 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811086 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.811096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811107 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811117 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.811128 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.811138 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.811152 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811162 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.811173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.811183 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811194 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811204 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.811214 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.811224 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811234 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.811244 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811255 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.811265 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811275 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.811286 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811296 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd:0|c 05:48:58.811306 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.811316 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.811326 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.811336 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.811347 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811357 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.811367 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.811378 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.811389 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.811400 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.811410 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.811421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.811431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.811441 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.811451 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.811462 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.811472 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.811482 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.811492 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.811502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.811512 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.811522 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.811533 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.811555 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.811566 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.811577 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.811588 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.811598 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.811608 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.811643 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.msc.0.bssmap.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.reset.request", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.clear.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.confusion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.common_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.good", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.location.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd", val := 0, mtype := "c", srate := omit } } 05:48:58.811777 27 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.reset.request", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.clear.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.confusion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.common_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.good", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.location.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd", val := 0, mtype := "c", srate := omit } } } id 1 05:48:58.811913 27 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6D73632E302E6273736D61702E72782E6474312E75706C696E6B5F72656C656173652E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E75706C696E6B5F7365697A65642E636D643A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E766763735F6164646C5F696E666F3A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E766763735F736D733A307C630A5454434E332E6D73632E302E6273736D61702E72782E6474312E6E6F74696669636174696F6E5F646174613A307C630A5454434E332E6D73632E302E6273736D61702E74782E747970652E6273735F6D616E6167656D656E743A307C630A5454434E332E6D73632E302E6273736D61702E74782E747970652E647461703A307C630A5454434E332E6D73632E302E6273736D61702E74782E747970652E6572725F756E6B6E6F776E3A307C630A5454434E332E6D73632E302E6273736D61702E74782E747970652E6572725F73686F72743A307C630A5454434E332E6D73632E302E6273736D61702E74782E726573756C742E6572725F636F6E6E5F6E6F745F72656164793A307C630A5454434E332E6D73632E302E6273736D61702E74782E726573756C742E6572725F73656E643A307C630A5454434E332E6D73632E302E6273736D61702E74782E726573756C742E737563636573733A307C630A5454434E332E6D73632E302E6273736D61702E74782E7564742E72657365742E726571756573743A307C630A5454434E332E6D73632E302E6273736D61702E74782E7564742E72657365742E61636B3A317C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E636C6561722E727173743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E636C6561722E636F6D706C6574653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E61737369676E6D656E742E6661696C7572653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E61737369676E6D656E742E636F6D706C6574653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E736170695F6E2E72656A6563743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E6369706865725F6D6F64652E636F6D706C6574653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E6369706865725F6D6F64652E72656A6563743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E636C6173736D61726B2E7570646174653A307C63'O ("TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c\nTTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c\nTTCN3.msc.0.bssmap.tx.type.bss_management:0|c\nTTCN3.msc.0.bssmap.tx.type.dtap:0|c\nTTCN3.msc.0.bssmap.tx.type.err_unknown:0|c\nTTCN3.msc.0.bssmap.tx.type.err_short:0|c\nTTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c\nTTCN3.msc.0.bssmap.tx.result.err_send:0|c\nTTCN3.msc.0.bssmap.tx.result.success:0|c\nTTCN3.msc.0.bssmap.tx.udt.reset.request:0|c\nTTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c\nTTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c\nTTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c\nTTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c\nTTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c") } id 2 05:48:58.811942 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c\nTTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c\nTTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c\nTTCN3.msc.0.bssmap.tx.type.bss_management:0|c\nTTCN3.msc.0.bssmap.tx.type.dtap:0|c\nTTCN3.msc.0.bssmap.tx.type.err_unknown:0|c\nTTCN3.msc.0.bssmap.tx.type.err_short:0|c\nTTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c\nTTCN3.msc.0.bssmap.tx.result.err_send:0|c\nTTCN3.msc.0.bssmap.tx.result.success:0|c\nTTCN3.msc.0.bssmap.tx.udt.reset.request:0|c\nTTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c\nTTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c\nTTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c\nTTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c\nTTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c" 05:48:58.811978 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.811995 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.812006 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.812016 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812027 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.812037 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812048 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812059 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.812070 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812080 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812092 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812106 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.812117 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812127 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812138 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812148 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.812159 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812169 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812179 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.812190 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812200 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.812210 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812225 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.812235 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812246 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812256 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.812267 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.812277 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812288 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.812298 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812309 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812319 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.812330 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812340 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812351 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812366 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.812377 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812387 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812397 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812408 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.812418 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812428 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812439 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.812449 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812463 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.812473 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812484 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.812494 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812505 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info:0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812515 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.812525 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.812536 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812546 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.812556 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812567 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812581 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.812592 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812603 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812614 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812624 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.812635 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812655 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812665 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.812676 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812686 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812696 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.812707 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812721 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.812731 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812742 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.812752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812763 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms:0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812773 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.812783 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.812794 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812804 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.812814 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812825 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812839 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.812850 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812860 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812871 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812882 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.812893 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.812903 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.812913 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812923 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.812934 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.812944 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812954 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.812965 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.812979 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.812989 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813000 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.813010 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813021 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.rx.dt1.notification_data:0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813031 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.813041 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.813052 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813062 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.813072 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813083 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813093 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.813104 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813119 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813130 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813140 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.813151 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813171 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813182 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.813192 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813202 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813213 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.813223 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813233 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.813244 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813258 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.813268 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813279 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.type.bss_management:0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813289 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.813300 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.813310 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813320 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.813331 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813341 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813352 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.813363 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813373 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813384 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813399 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.813410 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813420 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813430 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813441 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.813451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813461 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813472 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.813482 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813492 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.813503 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813513 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.813523 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813534 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.type.dtap:0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813548 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.813559 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.813569 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813579 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.813590 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813600 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813611 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.813622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813643 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813653 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.813664 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813684 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813699 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.813715 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813726 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813736 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.813746 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813757 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.813767 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813778 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.813788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813799 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.type.err_unknown:0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813809 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.813819 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.813830 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813844 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.813854 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813865 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813876 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.813887 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813897 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813908 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813918 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.813929 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.813939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.813950 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813960 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.813970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.813980 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.813991 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.814001 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814018 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.814028 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814038 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.814049 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814059 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.type.err_short:0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814070 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.814080 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.814090 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814101 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.814111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814122 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814132 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.814143 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814154 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814165 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814179 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.814190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814200 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814210 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814221 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.814231 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814241 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814252 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.814262 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814272 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.814282 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814293 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.814303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814314 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready:0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814328 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.814338 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.814348 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814359 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.814369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814380 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814390 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.814401 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814412 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814423 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814433 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.814444 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814464 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814475 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.814485 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814495 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814506 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.814516 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814530 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.814541 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814551 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.814562 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814572 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.result.err_send:0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814583 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.814593 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.814603 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814614 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.814624 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814635 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814645 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.814656 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814666 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814677 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814688 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.814699 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814713 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814723 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814733 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.814744 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814754 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814764 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.814775 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814785 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.814795 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814806 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.814816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814827 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.result.success:0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814837 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.814847 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.814858 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814868 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.814878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814889 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814903 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.814914 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814925 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.814936 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814946 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.814957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.814967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.814977 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.814987 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.814998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815008 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815018 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.815029 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815039 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.815049 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815059 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.815070 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815080 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.udt.reset.request:0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.815105 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.815116 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815126 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.815136 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815147 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815157 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.815168 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815178 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815189 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815199 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.815210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815231 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815241 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.815252 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815262 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815272 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.815282 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815293 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.815307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.815328 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815338 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.udt.reset.ack:1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815348 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.815359 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.815369 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815379 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.815390 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815400 27 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815411 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.815422 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815432 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815443 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815453 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.815464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815474 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815485 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815495 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.815505 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815516 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815530 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.815540 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815550 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.815561 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815573 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.815584 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815594 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.clear.rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.815614 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.815625 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815635 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.815645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815656 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815666 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.815677 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815687 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815698 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815709 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.815720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815730 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815740 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815750 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.815761 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815771 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815786 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.815796 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815806 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.815817 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815827 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.815837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815848 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.clear.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815858 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.815868 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.815878 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815889 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.815899 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815910 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815920 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.815931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815941 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.815952 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.815963 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.815973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.815984 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.815994 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816004 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.816014 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816024 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816039 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.816049 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816059 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.816070 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816080 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.816090 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816101 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.assignment.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816111 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.816121 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.816131 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816142 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.816152 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816163 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816173 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.816184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816205 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816215 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.816226 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816236 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816247 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816257 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.816267 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816277 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816288 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.816298 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816312 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.816322 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816332 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.816343 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816353 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.assignment.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816363 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.816374 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.816384 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816394 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.816404 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816415 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816425 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.816436 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816446 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816457 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816467 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.816477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816488 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816498 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816508 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.816518 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816528 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816538 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.816548 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816559 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.816569 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816579 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.816589 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816600 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816610 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.816620 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.816630 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816644 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.816654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816665 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816675 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.816686 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816707 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816717 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.816728 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816738 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816748 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816758 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.816768 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816778 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816788 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.816799 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816809 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.816819 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816829 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.816839 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816850 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816860 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.816870 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.816880 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816890 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.816900 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816911 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816921 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.816932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816942 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.816953 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.816963 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.816974 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.816985 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.816995 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817005 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.817015 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.817026 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817040 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.817050 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817060 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.817071 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817081 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.817091 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817101 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject:0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817111 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.817122 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.817132 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817142 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.817152 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817163 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817173 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.817183 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.817193 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.817204 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817214 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.817225 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.817235 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817245 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817255 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.817266 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.817276 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817286 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.817296 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817306 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.817316 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817326 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.817336 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817347 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.classmark.update:0|c 05:48:58.817357 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.817367 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.817377 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.817387 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.817397 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817408 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.817418 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.817428 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.817439 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.817449 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.817459 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.817470 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.817480 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.817490 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.817500 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.817511 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.817521 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.817531 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.817541 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.817551 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.817561 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.817571 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.817587 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.817597 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.817607 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.817618 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.817629 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.817639 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.817649 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.817659 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.notification_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.bss_management", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_short", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.ack", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.classmark.update", val := 0, mtype := "c", srate := omit } } 05:48:58.817759 27 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.notification_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.bss_management", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_short", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.ack", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.classmark.update", val := 0, mtype := "c", srate := omit } } } id 2 05:48:58.817789 27 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 4 05:48:58.817826 27 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:58.817853 27 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:58.817866 27 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 05:48:58.817879 27 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 05:48:58.817891 27 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:58.817920 27 StatsD_Checker.ttcn:195 Start timer T_statsd: 5 s 05:48:58.818107 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.818158 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.reset.request", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.udt.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.clear.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.cipher_mode.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.assignment.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.lcls_connect_ctrl.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.handover.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.classmark.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.confusion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.common_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.good", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.dtap.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.location.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_setup", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_vbs_assignment.req", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_rqst.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_reject.cmd", val := 0, mtype := "c", srate := omit } } } id 1 05:48:58.818191 27 StatsD_Checker.ttcn:199 Message with id 1 was extracted from the queue of STATS. 05:48:58.818337 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.818379 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_release.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.uplink_seized.cmd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_addl_info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.vgcs_sms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.rx.dt1.notification_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.bss_management", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.type.err_short", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.err_send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.result.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.udt.reset.ack", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.clear.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.assignment.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.sapi_n.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.cipher_mode.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.classmark.update", val := 0, mtype := "c", srate := omit } } } id 2 05:48:58.818395 27 StatsD_Checker.ttcn:199 Message with id 2 was extracted from the queue of STATS. 05:48:58.818531 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6D73632E302E6273736D61702E74782E6474312E6C636C735F636F6E6E6563745F6374726C2E61636B3A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E72657175697265643A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E706572666F726D65643A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E727173745F61636B6E6F776C656467653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E6465746563743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E636F6D706C6574653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E68616E646F7665722E6661696C7572653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E647461703A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E6C6F636174696F6E2E726573706F6E73655F737563636573733A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E6C6F636174696F6E2E726573706F6E73655F6661696C7572653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F73657475702E61636B3A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F73657475702E7265667573653A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F61737369676E6D656E742E7265733A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F61737369676E6D656E742E6661696C3A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F71756575696E672E696E643A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E75706C696E6B5F727173743A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F61737369676E6D656E742E7374617475733A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E766763735F7662735F617265615F63656C6C2E696E666F3A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E75706C696E6B5F727173742E636E663A307C63'O ("TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.dtap:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c") } id 3 05:48:58.818559 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c\nTTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.dtap:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c\nTTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c\nTTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c" 05:48:58.818594 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.818614 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.818624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818638 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.818648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.818658 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818668 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.818679 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.818689 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.818700 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818710 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.818720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.818730 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.818740 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818754 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.818764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.818774 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818784 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.818793 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818803 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.818813 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818823 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.818833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.818843 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.required:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818857 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.818867 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.818877 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818886 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.818896 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.818907 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818916 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.818927 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.818937 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.818947 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.818957 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.818968 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.818977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.818987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819001 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.819011 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819021 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819031 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.819041 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819051 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.819060 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819070 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.819080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819090 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.performed:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819104 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.819114 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.819124 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819134 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.819143 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819154 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819164 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.819174 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819184 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819194 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819204 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.819215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819224 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819234 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819248 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.819258 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819268 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819278 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.819288 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819297 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.819307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.819327 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819337 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819353 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.819363 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:58.819373 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819383 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.819393 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819403 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819413 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.819423 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819433 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819444 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819453 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.819464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819483 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819497 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.819508 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819517 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819527 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.819537 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819547 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.819557 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819567 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.819577 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819587 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.detect:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819597 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.819607 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.819616 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819630 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.819640 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819650 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819660 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.819670 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819680 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819691 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819701 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.819711 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819721 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819730 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819740 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.819750 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819760 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819774 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.819784 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819794 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.819804 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819814 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.819824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819834 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.complete:0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819843 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.819853 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.819863 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819873 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.819883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819893 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819907 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.819918 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819928 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.819938 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819948 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.819959 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.819968 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.819978 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.819988 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.819998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820007 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820017 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.820027 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820037 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.820047 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820060 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.820070 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820080 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.handover.failure:0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820090 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.820100 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.820110 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820120 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.820130 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820140 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820150 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.820160 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820170 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820180 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820190 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.820200 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820210 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820220 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820233 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.820244 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820253 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820263 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.820273 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820283 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.820293 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820302 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.820312 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820322 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.dtap:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820332 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.820342 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.820352 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820365 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.820375 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820386 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820396 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.820406 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820416 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820426 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820436 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.820446 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820456 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820466 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820476 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.820486 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820495 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820505 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.820515 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820525 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.820539 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820548 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.820559 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820568 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.location.response_success:0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820578 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.820588 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:58.820598 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820608 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.820618 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820628 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820638 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.820648 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820658 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820669 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820678 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.820689 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820708 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820722 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.820732 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820742 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820752 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.820762 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820772 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.820782 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820791 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.820801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820811 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.location.response_failure:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.820831 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:58.820841 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820851 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.820861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820885 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.820895 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820905 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820916 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820926 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.820936 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.820946 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.820956 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820965 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.820975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.820985 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.820995 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.821005 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821015 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.821025 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821035 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.821045 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821054 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821068 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.821078 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.821088 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821098 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.821108 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821118 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821128 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.821138 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821148 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821159 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821169 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.821179 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821199 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821208 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.821219 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821228 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821238 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.821248 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821258 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.821268 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821282 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.821292 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821302 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821311 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.821321 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.821331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.821351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.821382 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821392 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821404 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821417 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.821431 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821441 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821451 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821460 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.821470 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821480 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821490 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.821500 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821514 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.821524 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821534 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.821544 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821554 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821564 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.821574 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.821584 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821594 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.821604 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821614 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821624 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.821634 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821644 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821655 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821665 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.821675 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821685 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821695 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821715 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.821727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821737 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.821757 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821771 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.821781 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821791 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.821801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821811 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.821831 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.821841 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.821861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821881 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.821891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821901 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821911 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821921 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.821932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.821942 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.821951 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821961 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.821971 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.821981 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.821991 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.822001 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822010 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.822020 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822037 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.822047 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822057 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822067 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.822076 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.822086 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822096 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.822106 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822116 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822126 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.822136 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822146 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822157 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822166 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.822177 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822186 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822196 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822206 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.822216 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822225 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822235 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.822244 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822254 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.822264 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822274 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.822284 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822294 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822304 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.822313 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.822323 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822333 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.822343 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822353 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822367 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.822378 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822388 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822399 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822409 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.822419 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822429 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822439 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822448 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.822458 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822468 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822478 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.822487 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822497 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.822507 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822516 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.822526 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822536 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status:0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822546 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.822556 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:58.822565 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822575 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.822585 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822595 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822605 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.822615 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822625 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822635 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822645 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.822655 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822675 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822685 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.822695 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822704 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822714 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.822724 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822738 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.822748 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822757 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.822768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822778 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822788 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.822798 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.822807 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822817 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.822827 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822837 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822847 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.822857 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822867 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822877 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822887 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.822897 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.822907 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.822917 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822926 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.822936 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.822946 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822955 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.822965 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822975 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.822985 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.822994 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.823004 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823014 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf:0|c 05:48:58.823024 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.823033 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.823043 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.823052 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.823062 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823072 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.823082 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.823092 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.823102 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.823112 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.823121 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.823132 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.823141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823151 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.823160 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.823170 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.823180 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.823189 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.823199 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.823209 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.823219 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.823228 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.823238 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.823248 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.823258 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.823269 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.823283 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.823292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.823302 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.823312 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.required", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.performed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.detect", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf", val := 0, mtype := "c", srate := omit } } 05:48:58.823387 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.required", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.performed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.detect", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf", val := 0, mtype := "c", srate := omit } } } id 3 05:48:58.823410 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.823449 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.tx.dt1.lcls_connect_ctrl.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.required", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.performed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.rqst_acknowledge", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.detect", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.complete", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.handover.failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.dtap", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_setup.refuse", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.res", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_queuing.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_assignment.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.vgcs_vbs_area_cell.info", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_rqst.cnf", val := 0, mtype := "c", srate := omit } } } id 3 05:48:58.823465 27 StatsD_Checker.ttcn:199 Message with id 3 was extracted from the queue of STATS. 05:48:58.823577 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6D73632E302E6273736D61702E74782E6474312E75706C696E6B5F72656C656173652E696E643A307C630A5454434E332E6D73632E302E6273736D61702E74782E6474312E75706C696E6B5F6170705F646174613A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E7375627363722E6E65773A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E7375627363722E72656174746163683A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E7375627363722E6B6E6F776E3A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E7375627363722E70616765643A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E7375627363722E6174746163685F6C6F73743A307C630A5454434E332E6D73632E302E6D7363706F6F6C2E656D6572672E666F727761726465643A307C630A5454434E332E6274732E332E63687265712E746F74616C3A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F656D6572673A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F63616C6C3A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F7061673A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F706463683A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F6F746865723A307C630A5454434E332E6274732E332E63687265712E617474656D707465645F756E6B6E6F776E3A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C3A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F656D6572673A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F63616C6C3A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F7061673A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F706463683A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F6F746865723A307C630A5454434E332E6274732E332E63687265712E7375636365737366756C5F756E6B6E6F776E3A307C630A5454434E332E6274732E332E63687265712E6E6F5F6368616E6E656C3A307C63'O ("TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c\nTTCN3.msc.0.mscpool.subscr.new:0|c\nTTCN3.msc.0.mscpool.subscr.reattach:0|c\nTTCN3.msc.0.mscpool.subscr.known:0|c\nTTCN3.msc.0.mscpool.subscr.paged:0|c\nTTCN3.msc.0.mscpool.subscr.attach_lost:0|c\nTTCN3.msc.0.mscpool.emerg.forwarded:0|c\nTTCN3.bts.3.chreq.total:0|c\nTTCN3.bts.3.chreq.attempted_emerg:0|c\nTTCN3.bts.3.chreq.attempted_call:0|c\nTTCN3.bts.3.chreq.attempted_location_upd:0|c\nTTCN3.bts.3.chreq.attempted_pag:0|c\nTTCN3.bts.3.chreq.attempted_pdch:0|c\nTTCN3.bts.3.chreq.attempted_other:0|c\nTTCN3.bts.3.chreq.attempted_unknown:0|c\nTTCN3.bts.3.chreq.successful:0|c\nTTCN3.bts.3.chreq.successful_emerg:0|c\nTTCN3.bts.3.chreq.successful_call:0|c\nTTCN3.bts.3.chreq.successful_location_upd:0|c\nTTCN3.bts.3.chreq.successful_pag:0|c\nTTCN3.bts.3.chreq.successful_pdch:0|c\nTTCN3.bts.3.chreq.successful_other:0|c\nTTCN3.bts.3.chreq.successful_unknown:0|c\nTTCN3.bts.3.chreq.no_channel:0|c") } id 4 05:48:58.823601 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind:0|c\nTTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c\nTTCN3.msc.0.mscpool.subscr.new:0|c\nTTCN3.msc.0.mscpool.subscr.reattach:0|c\nTTCN3.msc.0.mscpool.subscr.known:0|c\nTTCN3.msc.0.mscpool.subscr.paged:0|c\nTTCN3.msc.0.mscpool.subscr.attach_lost:0|c\nTTCN3.msc.0.mscpool.emerg.forwarded:0|c\nTTCN3.bts.3.chreq.total:0|c\nTTCN3.bts.3.chreq.attempted_emerg:0|c\nTTCN3.bts.3.chreq.attempted_call:0|c\nTTCN3.bts.3.chreq.attempted_location_upd:0|c\nTTCN3.bts.3.chreq.attempted_pag:0|c\nTTCN3.bts.3.chreq.attempted_pdch:0|c\nTTCN3.bts.3.chreq.attempted_other:0|c\nTTCN3.bts.3.chreq.attempted_unknown:0|c\nTTCN3.bts.3.chreq.successful:0|c\nTTCN3.bts.3.chreq.successful_emerg:0|c\nTTCN3.bts.3.chreq.successful_call:0|c\nTTCN3.bts.3.chreq.successful_location_upd:0|c\nTTCN3.bts.3.chreq.successful_pag:0|c\nTTCN3.bts.3.chreq.successful_pdch:0|c\nTTCN3.bts.3.chreq.successful_other:0|c\nTTCN3.bts.3.chreq.successful_unknown:0|c\nTTCN3.bts.3.chreq.no_channel:0|c" 05:48:58.823635 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind:0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823646 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.823656 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.823665 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823679 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.823689 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823699 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823709 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.823720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.823729 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.823740 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823750 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.823761 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.823770 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823780 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823794 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.823804 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.823814 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823824 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.823833 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.823853 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.823873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data:0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823896 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.823906 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.823916 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823926 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.823937 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.823947 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823957 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.823967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.823977 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.823987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.823997 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.824007 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824017 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824027 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824041 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.824051 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824060 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.824080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824090 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.824100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824110 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.824120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824130 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.subscr.new:0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824143 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.824153 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.824163 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824173 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.824183 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824193 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824203 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.824213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824234 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824244 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.824254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824268 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824277 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824287 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.824297 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824307 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824317 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.824327 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824337 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.824347 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824356 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.824366 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824380 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.subscr.reattach:0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824390 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.824400 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.824410 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824420 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.824430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824440 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824450 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.824461 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824470 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824481 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824491 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.824501 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824517 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824527 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824537 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.824547 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824556 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824566 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.824576 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824586 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.824596 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.824616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824626 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.subscr.known:0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824639 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.824649 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.824659 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824669 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.824679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824689 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824699 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.824709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.824750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824769 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824783 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.824793 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.824823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.824842 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.824862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.subscr.paged:0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824886 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.824896 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.824906 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824915 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.824925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.824936 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824946 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.824956 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.824966 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.824976 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.824986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.824997 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825007 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825016 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825026 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.825036 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825050 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825060 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.825069 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825079 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.825089 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825099 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.825109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825119 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.subscr.attach_lost:0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825129 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.825139 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.825148 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825162 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.825172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825182 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825192 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.825203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825213 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825223 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825233 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.825243 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825253 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825263 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825273 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.825283 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825292 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825302 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.825312 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825326 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.825336 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825345 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.825355 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825365 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.mscpool.emerg.forwarded:0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825375 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.825385 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.825395 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825405 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.825415 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825425 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825434 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.825445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825455 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825465 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825479 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.825489 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825499 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825509 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825518 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.825528 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825538 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825548 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.825558 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825568 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.825578 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825587 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.825597 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825611 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.total:0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825621 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.825631 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.825641 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825651 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.825661 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825671 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825681 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.825691 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825701 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825719 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825730 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.825740 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825750 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825759 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825773 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.825784 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825793 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825803 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.825813 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825823 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.825833 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825842 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.825852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825862 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_emerg:0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825872 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.825882 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.825892 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825902 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.825911 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.825921 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825935 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.825946 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825955 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.825966 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.825976 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.825986 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.825996 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826005 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826015 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.826025 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826035 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826044 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.826054 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826064 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.826074 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826088 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.826098 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826108 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_call:0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826117 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.826127 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.826137 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826147 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.826157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826167 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826176 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.826187 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826207 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826217 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.826227 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826237 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826246 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826260 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.826270 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826280 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826289 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.826299 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826309 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.826319 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826329 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.826339 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826349 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_location_upd:0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826358 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.826368 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.826378 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826388 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.826398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826408 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826421 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.826432 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826442 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826452 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826462 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.826472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826482 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826492 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.826512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826521 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826531 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.826541 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826551 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.826561 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826571 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.826580 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826590 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_pag:0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.826614 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.826624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826634 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.826643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826654 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826663 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.826674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826694 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826704 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.826714 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826724 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826734 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826744 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.826753 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826763 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826773 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.826783 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826799 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.826809 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826818 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.826829 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826838 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_pdch:0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826848 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.826858 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.826868 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826878 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.826888 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826898 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826908 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.826919 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826928 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.826939 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826949 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.826959 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.826969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.826978 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.826988 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.826998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827008 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827022 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.827032 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827042 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.827052 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827061 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.827071 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827081 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_other:0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827091 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.827101 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.827111 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827120 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.827130 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827141 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827150 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.827161 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827171 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827181 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827191 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.827201 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827211 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827225 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827235 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.827245 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827255 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827264 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.827274 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827284 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.827294 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827304 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.827313 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827323 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.attempted_unknown:0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827333 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.827343 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.827353 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827362 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.827372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827382 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827392 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.827403 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827412 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827423 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827437 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.827447 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827457 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827467 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827476 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.827486 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827496 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827506 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.827516 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827525 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.827535 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827545 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.827555 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827565 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful:0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827575 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.827585 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.827594 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827604 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.827614 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827624 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827634 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.827644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827654 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827664 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827678 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.827688 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827708 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827718 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.827728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827737 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.827757 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827767 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.827777 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827786 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.827796 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827806 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_emerg:0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827816 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.827826 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.827836 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827846 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.827855 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827866 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827875 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.827886 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827896 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827906 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827920 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.827930 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.827940 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.827950 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827960 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.827970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.827980 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.827989 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.827999 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828009 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.828019 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828029 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.828039 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828048 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_call:0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828058 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.828068 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.828078 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828088 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.828097 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828108 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828117 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.828128 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828137 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828148 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828157 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.828168 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828178 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828187 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828201 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.828211 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828221 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828230 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.828240 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828250 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.828259 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828269 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.828279 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828289 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_location_upd:0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828298 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.828308 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.828318 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828328 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.828337 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828347 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828357 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.828367 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828377 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828387 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828397 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.828407 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828427 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828436 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.828446 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828456 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828466 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.828475 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828485 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.828499 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828509 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.828519 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828529 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_pag:0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828539 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.828548 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.828558 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828568 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.828578 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828588 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828597 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.828608 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828618 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828628 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828638 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.828649 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828659 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828668 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828678 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.828688 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828698 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828708 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.828717 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828727 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.828737 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828747 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.828757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828767 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_pdch:0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828776 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.828786 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.828796 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828806 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.828816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828826 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828835 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.828846 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828860 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828870 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828880 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.828890 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.828900 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.828910 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828920 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.828930 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.828939 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828949 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.828959 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828969 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.828979 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.828988 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.828998 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829008 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_other:0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829018 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.829028 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.829038 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829047 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.829057 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829067 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829077 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.829088 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829097 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.829108 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829118 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.829128 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829138 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829148 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829157 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.829167 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.829177 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829187 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.829197 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829206 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.829216 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829226 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.829236 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829246 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.successful_unknown:0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829255 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.829265 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.829275 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829289 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.829299 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829309 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829319 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.829329 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829339 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.829349 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829359 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.829369 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829389 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829398 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.829408 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.829418 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829427 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.829437 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829447 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.829457 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829466 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.829476 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829486 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.no_channel:0|c 05:48:58.829496 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.829506 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.829515 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.829525 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.829535 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829545 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.829554 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.829565 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829575 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.829586 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.829595 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.829605 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.829615 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.829625 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.829634 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.829644 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.829654 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.829664 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.829673 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.829683 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.829693 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.829702 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.829717 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.829727 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.829737 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.829747 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.829756 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.829766 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.829776 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.829785 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.new", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.reattach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.known", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.paged", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.attach_lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.no_channel", val := 0, mtype := "c", srate := omit } } 05:48:58.829870 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.new", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.reattach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.known", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.paged", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.attach_lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.no_channel", val := 0, mtype := "c", srate := omit } } } id 4 05:48:58.829893 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.829933 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_release.ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.bssmap.tx.dt1.uplink_app_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.new", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.reattach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.known", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.paged", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.subscr.attach_lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chreq.no_channel", val := 0, mtype := "c", srate := omit } } } id 4 05:48:58.829950 27 StatsD_Checker.ttcn:199 Message with id 4 was extracted from the queue of STATS. 05:48:58.830070 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E63687265712E6D61785F64656C61795F65786365656465643A307C630A5454434E332E6274732E332E6368616E2E72665F6661696C3A307C630A5454434E332E6274732E332E6368616E2E72665F6661696C5F7463683A307C630A5454434E332E6274732E332E6368616E2E72665F6661696C5F73646363683A307C630A5454434E332E6274732E332E6368616E2E726C6C5F6572723A307C630A5454434E332E6274732E332E6F6D6C5F6661696C3A307C630A5454434E332E6274732E332E72736C5F6661696C3A307C630A5454434E332E6274732E332E636F6465632E616D725F663A307C630A5454434E332E6274732E332E636F6465632E616D725F683A307C630A5454434E332E6274732E332E636F6465632E6566723A307C630A5454434E332E6274732E332E636F6465632E66723A307C630A5454434E332E6274732E332E636F6465632E68723A307C630A5454434E332E6274732E332E706167696E672E617474656D707465643A307C630A5454434E332E6274732E332E706167696E672E616C72656164793A307C630A5454434E332E6274732E332E706167696E672E726573706F6E6465643A307C630A5454434E332E6274732E332E706167696E672E657870697265643A307C630A5454434E332E6274732E332E706167696E672E6E6F5F6163746976655F706167696E673A307C630A5454434E332E6274732E332E706167696E672E6D73635F666C7573683A307C630A5454434E332E6274732E332E706167696E672E6F7665726C6F61643A307C630A5454434E332E6274732E332E6368616E5F6163742E746F74616C3A307C630A5454434E332E6274732E332E6368616E5F6163742E73646363683A307C630A5454434E332E6274732E332E6368616E5F6163742E7463683A307C630A5454434E332E6274732E332E6368616E5F6163742E6E61636B3A307C630A5454434E332E6274732E332E6368616E5F7463682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E332E6368616E5F73646363682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E332E6368616E5F7463682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E332E6368616E5F73646363682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E332E72736C2E756E6B6E6F776E3A307C630A5454434E332E6274732E332E72736C2E6970615F6E61636B3A307C630A5454434E332E6274732E332E72736C2E64656C6574655F696E643A307C63'O ("TTCN3.bts.3.chreq.max_delay_exceeded:0|c\nTTCN3.bts.3.chan.rf_fail:0|c\nTTCN3.bts.3.chan.rf_fail_tch:0|c\nTTCN3.bts.3.chan.rf_fail_sdcch:0|c\nTTCN3.bts.3.chan.rll_err:0|c\nTTCN3.bts.3.oml_fail:0|c\nTTCN3.bts.3.rsl_fail:0|c\nTTCN3.bts.3.codec.amr_f:0|c\nTTCN3.bts.3.codec.amr_h:0|c\nTTCN3.bts.3.codec.efr:0|c\nTTCN3.bts.3.codec.fr:0|c\nTTCN3.bts.3.codec.hr:0|c\nTTCN3.bts.3.paging.attempted:0|c\nTTCN3.bts.3.paging.already:0|c\nTTCN3.bts.3.paging.responded:0|c\nTTCN3.bts.3.paging.expired:0|c\nTTCN3.bts.3.paging.no_active_paging:0|c\nTTCN3.bts.3.paging.msc_flush:0|c\nTTCN3.bts.3.paging.overload:0|c\nTTCN3.bts.3.chan_act.total:0|c\nTTCN3.bts.3.chan_act.sdcch:0|c\nTTCN3.bts.3.chan_act.tch:0|c\nTTCN3.bts.3.chan_act.nack:0|c\nTTCN3.bts.3.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.3.chan_tch.fully_established:0|c\nTTCN3.bts.3.chan_sdcch.fully_established:0|c\nTTCN3.bts.3.rsl.unknown:0|c\nTTCN3.bts.3.rsl.ipa_nack:0|c\nTTCN3.bts.3.rsl.delete_ind:0|c") } id 5 05:48:58.830094 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.chreq.max_delay_exceeded:0|c\nTTCN3.bts.3.chan.rf_fail:0|c\nTTCN3.bts.3.chan.rf_fail_tch:0|c\nTTCN3.bts.3.chan.rf_fail_sdcch:0|c\nTTCN3.bts.3.chan.rll_err:0|c\nTTCN3.bts.3.oml_fail:0|c\nTTCN3.bts.3.rsl_fail:0|c\nTTCN3.bts.3.codec.amr_f:0|c\nTTCN3.bts.3.codec.amr_h:0|c\nTTCN3.bts.3.codec.efr:0|c\nTTCN3.bts.3.codec.fr:0|c\nTTCN3.bts.3.codec.hr:0|c\nTTCN3.bts.3.paging.attempted:0|c\nTTCN3.bts.3.paging.already:0|c\nTTCN3.bts.3.paging.responded:0|c\nTTCN3.bts.3.paging.expired:0|c\nTTCN3.bts.3.paging.no_active_paging:0|c\nTTCN3.bts.3.paging.msc_flush:0|c\nTTCN3.bts.3.paging.overload:0|c\nTTCN3.bts.3.chan_act.total:0|c\nTTCN3.bts.3.chan_act.sdcch:0|c\nTTCN3.bts.3.chan_act.tch:0|c\nTTCN3.bts.3.chan_act.nack:0|c\nTTCN3.bts.3.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.3.chan_tch.fully_established:0|c\nTTCN3.bts.3.chan_sdcch.fully_established:0|c\nTTCN3.bts.3.rsl.unknown:0|c\nTTCN3.bts.3.rsl.ipa_nack:0|c\nTTCN3.bts.3.rsl.delete_ind:0|c" 05:48:58.830129 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chreq.max_delay_exceeded:0|c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830139 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.830155 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.830165 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830175 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.830185 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830195 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830205 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.830215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830225 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830236 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830246 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.830256 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830276 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830289 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.830300 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830309 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830319 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.830329 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830339 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.830349 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830359 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.830369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830379 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan.rf_fail:0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830392 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.830402 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.830412 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830422 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.830432 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830442 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830452 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.830462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830482 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830496 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.830506 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830526 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830536 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.830545 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830555 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830565 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.830575 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830585 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.830595 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830609 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.830619 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830629 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan.rf_fail_tch:0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830639 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.830648 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.830658 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830668 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.830678 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830688 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830698 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.830708 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830718 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830729 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830743 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.830754 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830764 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830774 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830783 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.830793 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.830823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.830843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830856 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.830867 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830876 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan.rf_fail_sdcch:0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830886 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.830896 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.830906 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830916 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.830926 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.830936 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830946 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.830956 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.830970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.830980 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.830990 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.831001 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831010 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831020 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831030 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.831040 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831050 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831060 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.831069 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831083 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.831093 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831103 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.831113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831123 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan.rll_err:0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831133 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.831143 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.831152 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831162 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.831172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831182 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831192 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.831203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831216 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831227 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831237 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.831247 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831257 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831267 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831277 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.831287 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831296 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831306 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.831316 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831326 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.831340 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831350 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.831360 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831370 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.oml_fail:0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831380 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.831389 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.831399 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831409 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.831419 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831429 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831439 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.831449 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831459 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831470 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831483 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.831494 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831504 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831513 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831523 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.831533 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831543 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831553 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.831563 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831572 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.831582 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831596 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.831606 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831616 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rsl_fail:0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831626 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.831636 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.831646 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831655 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.831665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831675 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831685 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.831696 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831705 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831716 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831730 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.831740 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831750 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831760 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831770 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.831780 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831789 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831799 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.831809 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831819 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.831829 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831842 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.831852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831862 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.codec.amr_f:0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831872 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.831882 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.831891 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831901 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.831911 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.831921 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831931 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.831942 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831951 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.831962 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.831972 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.831982 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.831992 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832007 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832017 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.832027 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832037 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832047 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.832057 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832067 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.832076 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832086 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.832096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832106 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.codec.amr_h:0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832120 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.832129 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.832139 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832149 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.832159 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832169 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832179 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.832189 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832199 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832210 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832220 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.832230 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832240 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832249 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832259 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.832273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832293 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.832302 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832312 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.832322 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832332 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.832342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832352 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.codec.efr:0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832362 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.832371 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:58.832381 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832395 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.832405 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832415 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832425 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.832435 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832445 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832456 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832466 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.832476 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832486 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832496 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832505 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.832515 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832525 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832535 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.832544 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832558 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.832569 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832578 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.832588 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832598 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.codec.fr:0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832608 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.832618 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.832628 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832637 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.832647 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832658 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832667 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.832678 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832691 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832702 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832712 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.832722 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832732 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832742 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832752 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.832762 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832771 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832781 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.832791 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832801 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.832811 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832824 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.832834 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832844 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.codec.hr:0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832854 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.832864 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.832874 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832883 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.832893 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832904 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832913 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.832924 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832933 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.832944 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832954 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.832964 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.832974 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.832984 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.832997 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.833007 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833017 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833027 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.833037 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833047 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.833057 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833066 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.833076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833086 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.attempted:0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833096 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.833106 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.833116 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833130 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.833140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833150 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833160 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.833170 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833190 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833200 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.833211 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833230 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833240 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.833250 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833260 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.833279 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833293 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.833303 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833313 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.833323 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833333 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.already:0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833342 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.833352 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.833362 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833372 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.833382 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833392 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833402 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.833412 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833422 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833432 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833443 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.833453 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833467 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833477 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833486 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.833496 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833506 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833516 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.833526 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833535 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.833545 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833555 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.833565 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833575 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.responded:0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833585 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.833595 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.833605 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833618 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.833628 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833638 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833648 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.833658 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833668 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833679 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833689 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.833699 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833722 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833732 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833742 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.833752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833762 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833772 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.833781 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833791 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.833801 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833815 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.833825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833835 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.expired:0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833845 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.833855 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.833865 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833875 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.833885 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833895 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833905 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.833915 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833925 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.833935 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833945 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.833955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.833965 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.833977 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.833991 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.834002 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834011 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834021 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.834031 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834041 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.834051 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834061 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.834071 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834081 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.no_active_paging:0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834091 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.834101 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.834110 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834120 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.834130 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834140 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834150 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.834164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834185 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834195 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.834205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834225 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834235 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.834245 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834255 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834264 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.834274 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834284 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.834294 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834303 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.834313 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834323 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.msc_flush:0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834333 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.834343 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.834353 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834368 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.834378 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834389 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834398 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.834409 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834418 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834429 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834439 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.834449 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834468 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834478 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.834488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834498 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834507 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.834517 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834527 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.834536 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834550 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.834560 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834570 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.overload:0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834580 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.834590 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.834600 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834610 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.834620 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834630 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834639 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.834650 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834660 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834670 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834680 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.834690 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834700 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834710 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834719 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.834729 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834739 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834749 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.834759 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834772 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.834782 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834792 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.834802 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834812 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_act.total:0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.834831 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.834841 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.834860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834880 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.834890 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834900 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834911 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834921 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.834931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.834941 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.834950 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834960 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.834970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.834980 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.834993 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.835003 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835013 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.835023 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835033 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.835043 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835053 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_act.sdcch:0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835062 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.835072 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.835082 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835091 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.835101 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835111 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835121 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.835131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835141 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835152 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835161 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.835172 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835181 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835191 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835205 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.835215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835225 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835234 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.835244 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835254 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.835264 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835273 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.835283 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835293 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_act.tch:0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835303 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.835312 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.835322 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835332 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.835342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835352 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835361 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.835372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835381 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835392 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835402 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.835412 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835422 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835431 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835448 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.835458 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835468 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835477 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.835487 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835497 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.835507 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835516 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.835526 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835536 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_act.nack:0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835546 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.835556 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.835565 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835575 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.835585 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835595 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835605 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.835615 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835625 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835635 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835647 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.835658 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835667 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835677 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835687 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.835697 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835706 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835720 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.835730 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835740 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.835750 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835759 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.835769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835779 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch.active_milliseconds.total:0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835788 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.835798 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.835808 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835818 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.835827 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835838 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835847 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.835860 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835869 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835880 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835890 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.835900 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.835910 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.835919 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835929 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.835939 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.835949 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835958 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.835968 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.835982 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.835992 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836001 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.836011 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836021 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836031 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.836041 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.836050 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836060 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.836070 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836080 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836090 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.836100 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836110 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836120 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836130 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.836140 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836150 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836159 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836169 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.836181 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836191 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836200 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.836210 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836220 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.836230 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836239 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.836249 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836259 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch.fully_established:0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836268 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.836278 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.836288 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836301 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.836311 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836321 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836331 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.836341 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836351 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836361 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836371 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.836381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836391 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836401 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836410 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.836420 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836429 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836441 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.836451 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836461 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.836471 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836480 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.836491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836500 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch.fully_established:0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836510 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.836520 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.836529 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836539 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.836549 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836559 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836568 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.836579 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836588 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836599 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836608 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.836618 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836628 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836638 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836647 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.836657 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836667 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836676 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.836686 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836700 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.836710 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836723 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.836733 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836743 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rsl.unknown:0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836752 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.836762 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.836772 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836781 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.836791 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836801 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836811 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.836821 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836831 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836841 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836851 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.836861 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.836870 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836880 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836890 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.836899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.836909 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836919 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.836928 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836938 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.836948 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836957 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.836967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.836977 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rsl.ipa_nack:0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.836988 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.836998 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.837008 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837018 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.837027 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837037 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837047 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.837057 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.837067 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.837077 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837087 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.837097 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.837107 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837116 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837126 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.837136 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.837145 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837155 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.837165 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837174 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.837184 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837197 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.837207 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837217 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rsl.delete_ind:0|c 05:48:58.837227 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.837237 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.837246 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.837258 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.837268 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837278 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.837287 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.837297 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.837307 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.837317 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.837327 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.837337 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.837347 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837356 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.837366 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.837376 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.837385 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.837395 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.837405 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.837414 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.837424 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.837434 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.837443 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.837453 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.837462 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.837472 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.837482 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.837492 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.837502 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.837511 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.delete_ind", val := 0, mtype := "c", srate := omit } } 05:48:58.837611 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.delete_ind", val := 0, mtype := "c", srate := omit } } } id 5 05:48:58.837632 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.837674 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.rsl.delete_ind", val := 0, mtype := "c", srate := omit } } } id 5 05:48:58.837695 27 StatsD_Checker.ttcn:199 Message with id 5 was extracted from the queue of STATS. 05:48:58.837827 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E6368616E2E6D6F64655F6D6F646966795F6E61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F61637469765F61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72665F72656C656173655F61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F6E61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E72665F6368616E5F72656C5F61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E7674793A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E6576656E742E74735F6572726F723A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72725F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E332E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72736C5F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E6E6F745F696E697469616C697A65643A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F6163743A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E706463683A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F64656163743A307C63'O ("TTCN3.bts.3.chan.mode_modify_nack:0|c\nTTCN3.bts.3.lchan_borken.from_state.unused:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.borken:0|c\nTTCN3.bts.3.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.3.lchan_borken.event.vty:0|c\nTTCN3.bts.3.lchan_borken.event.teardown:0|c\nTTCN3.bts.3.lchan_borken.event.ts_error:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.3.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.3.ts_borken.from_state.unused:0|c\nTTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.3.ts_borken.from_state.pdch:0|c\nTTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c") } id 6 05:48:58.837851 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.chan.mode_modify_nack:0|c\nTTCN3.bts.3.lchan_borken.from_state.unused:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.borken:0|c\nTTCN3.bts.3.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.3.lchan_borken.event.vty:0|c\nTTCN3.bts.3.lchan_borken.event.teardown:0|c\nTTCN3.bts.3.lchan_borken.event.ts_error:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.3.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.3.ts_borken.from_state.unused:0|c\nTTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.3.ts_borken.from_state.pdch:0|c\nTTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c" 05:48:58.837885 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan.mode_modify_nack:0|c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.837896 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.837906 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.837916 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.837929 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.837939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.837950 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.837960 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.837970 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.837980 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.837991 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838001 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.838011 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838021 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838031 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838041 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.838051 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838060 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838074 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.838084 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838094 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.838104 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838114 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.838124 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838134 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.unused:0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838143 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.838153 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.838167 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838177 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.838187 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838197 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838207 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.838217 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838227 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838237 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838247 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.838258 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838267 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838277 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838291 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.838301 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838311 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838320 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.838330 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838340 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.838350 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838359 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.838369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838379 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838395 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.838405 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.838414 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838424 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.838434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838444 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838454 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.838464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838484 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838494 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.838506 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838517 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838529 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838544 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.838556 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838565 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838575 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.838585 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838595 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.838605 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838615 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.838625 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838635 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838648 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.838658 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:58.838668 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838678 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.838688 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838698 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838708 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.838718 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838739 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838749 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.838760 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838779 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838789 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.838803 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838813 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838823 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.838833 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.838853 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.838873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.borken:0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838892 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.838902 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.838912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838926 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.838936 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.838946 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838956 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.838966 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.838976 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.838987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.838997 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.839007 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839017 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839027 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839036 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.839046 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839056 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.839080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839090 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.839100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839110 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.839120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839130 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.unknown:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839140 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.839150 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.839160 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839169 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.839179 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839190 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839203 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.839214 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839224 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839234 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839244 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.839255 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839265 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839275 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839284 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.839294 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839304 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839314 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.839324 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839334 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.839344 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839358 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.839368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839378 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839388 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.839398 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.839408 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839418 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.839428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839448 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.839458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839469 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839481 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839491 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.839502 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839511 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839521 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839535 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.839545 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839554 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839564 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.839574 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839584 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.839594 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839604 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.839613 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839623 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839633 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.839643 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.839653 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839666 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.839676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839687 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839696 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.839707 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839716 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839727 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839737 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.839747 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839767 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839777 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.839786 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839796 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839806 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.839815 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839829 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.839839 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839849 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.839859 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839869 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839878 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.839888 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.839898 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839908 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.839918 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.839928 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839937 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.839948 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839958 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.839968 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.839978 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.839988 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.839998 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840008 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840022 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.840032 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840041 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840051 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.840061 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840071 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.840081 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840090 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.840100 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840110 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.vty:0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840120 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.840130 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.840140 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840149 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.840159 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840169 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840183 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.840194 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840214 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840224 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.840234 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840244 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840254 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840263 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.840273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840293 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.840302 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840312 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.840322 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840332 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.840342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840351 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.teardown:0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840365 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.840375 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.840385 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840395 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.840404 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840414 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840424 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.840435 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840444 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840455 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840464 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.840475 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840484 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840494 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840504 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.840516 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840527 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840538 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.840550 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840560 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.840570 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840585 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.840595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840605 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.event.ts_error:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840615 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.840625 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.840635 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840644 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.840654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840665 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840674 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.840685 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840695 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840705 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840715 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.840725 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840735 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840745 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840754 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.840764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840774 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840784 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.840793 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840810 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.840820 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840830 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.840840 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840850 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840860 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.840870 27 StatsD_CodecPort.ttcn:36 match_first result: 64 05:48:58.840880 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840889 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.840899 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840910 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840919 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.840930 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840940 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.840950 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.840960 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.840971 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.840980 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.840990 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841000 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.841010 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841020 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841034 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.841044 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841054 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.841064 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841074 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.841084 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841094 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841103 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.841113 27 StatsD_CodecPort.ttcn:36 match_first result: 65 05:48:58.841123 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841133 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.841143 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841153 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841163 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.841173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841183 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841194 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841204 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.841214 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841224 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841234 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841243 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.841253 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841263 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841273 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.841283 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841293 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.841307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.841327 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841337 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.not_initialized:0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841347 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.841357 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.841367 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841377 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.841387 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841397 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841407 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.841417 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841427 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841438 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841448 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.841458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841468 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841478 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841487 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.841497 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841507 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841517 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.841527 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841537 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.841547 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841557 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.841567 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841576 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.unused:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841586 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.841596 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.841606 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841620 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.841630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841641 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841650 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.841661 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841671 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841681 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841691 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.841701 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841717 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841727 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841737 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.841747 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841757 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841766 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.841776 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841786 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.841796 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841806 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.841816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841826 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841836 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.841845 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.841855 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841865 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.841875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841895 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.841905 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841915 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841926 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841936 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.841946 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.841956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.841966 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.841975 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.841985 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.841995 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842005 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.842019 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842029 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.842039 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842049 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.842059 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842069 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.pdch:0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842078 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.842088 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.842098 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842108 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.842118 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842128 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842138 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.842148 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.842158 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.842169 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842178 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.842189 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.842198 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842208 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842218 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.842228 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.842238 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842247 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.842257 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842267 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.842277 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842287 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.842297 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842307 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact:0|c 05:48:58.842316 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.842326 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.842336 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.842346 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.842356 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842366 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.842376 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.842386 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.842396 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.842407 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.842416 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.842427 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.842436 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.842446 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.842456 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.842466 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.842476 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.842485 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.842495 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.842505 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.842515 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.842524 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.842534 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.842548 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.842558 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.842568 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.842578 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.842587 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.842597 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.842607 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit } } 05:48:58.842684 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit } } } id 6 05:48:58.842708 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.842747 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit } } } id 6 05:48:58.842763 27 StatsD_Checker.ttcn:199 Message with id 6 was extracted from the queue of STATS. 05:48:58.842880 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E696E5F7573653A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E332E74735F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E332E74735F626F726B656E2E6576656E742E706463685F6163745F61636B5F6E61636B3A307C630A5454434E332E6274732E332E74735F626F726B656E2E6576656E742E706463685F64656163745F61636B5F6E61636B3A307C630A5454434E332E6274732E332E74735F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E617474656D707465643A307C630A5454434E332E6274732E332E61737369676E6D656E742E617474656D707465645F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E617474656D707465645F7370656563683A307C630A5454434E332E6274732E332E61737369676E6D656E742E636F6D706C657465643A307C630A5454434E332E6274732E332E61737369676E6D656E742E636F6D706C657465645F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E636F6D706C657465645F7370656563683A307C630A5454434E332E6274732E332E61737369676E6D656E742E73746F707065643A307C630A5454434E332E6274732E332E61737369676E6D656E742E73746F707065645F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E73746F707065645F7370656563683A307C630A5454434E332E6274732E332E61737369676E6D656E742E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E61737369676E6D656E742E6E6F5F6368616E6E656C5F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E6E6F5F6368616E6E656C5F7370656563683A307C630A5454434E332E6274732E332E61737369676E6D656E742E74696D656F75743A307C630A5454434E332E6274732E332E61737369676E6D656E742E74696D656F75745F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E74696D656F75745F7370656563683A307C630A5454434E332E6274732E332E61737369676E6D656E742E6661696C65643A307C630A5454434E332E6274732E332E61737369676E6D656E742E6661696C65645F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E6661696C65645F7370656563683A307C63'O ("TTCN3.bts.3.ts_borken.from_state.in_use:0|c\nTTCN3.bts.3.ts_borken.from_state.borken:0|c\nTTCN3.bts.3.ts_borken.from_state.unknown:0|c\nTTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.3.ts_borken.event.teardown:0|c\nTTCN3.bts.3.assignment.attempted:0|c\nTTCN3.bts.3.assignment.attempted_sign:0|c\nTTCN3.bts.3.assignment.attempted_speech:0|c\nTTCN3.bts.3.assignment.completed:0|c\nTTCN3.bts.3.assignment.completed_sign:0|c\nTTCN3.bts.3.assignment.completed_speech:0|c\nTTCN3.bts.3.assignment.stopped:0|c\nTTCN3.bts.3.assignment.stopped_sign:0|c\nTTCN3.bts.3.assignment.stopped_speech:0|c\nTTCN3.bts.3.assignment.no_channel:0|c\nTTCN3.bts.3.assignment.no_channel_sign:0|c\nTTCN3.bts.3.assignment.no_channel_speech:0|c\nTTCN3.bts.3.assignment.timeout:0|c\nTTCN3.bts.3.assignment.timeout_sign:0|c\nTTCN3.bts.3.assignment.timeout_speech:0|c\nTTCN3.bts.3.assignment.failed:0|c\nTTCN3.bts.3.assignment.failed_sign:0|c\nTTCN3.bts.3.assignment.failed_speech:0|c") } id 7 05:48:58.842930 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.ts_borken.from_state.in_use:0|c\nTTCN3.bts.3.ts_borken.from_state.borken:0|c\nTTCN3.bts.3.ts_borken.from_state.unknown:0|c\nTTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.3.ts_borken.event.teardown:0|c\nTTCN3.bts.3.assignment.attempted:0|c\nTTCN3.bts.3.assignment.attempted_sign:0|c\nTTCN3.bts.3.assignment.attempted_speech:0|c\nTTCN3.bts.3.assignment.completed:0|c\nTTCN3.bts.3.assignment.completed_sign:0|c\nTTCN3.bts.3.assignment.completed_speech:0|c\nTTCN3.bts.3.assignment.stopped:0|c\nTTCN3.bts.3.assignment.stopped_sign:0|c\nTTCN3.bts.3.assignment.stopped_speech:0|c\nTTCN3.bts.3.assignment.no_channel:0|c\nTTCN3.bts.3.assignment.no_channel_sign:0|c\nTTCN3.bts.3.assignment.no_channel_speech:0|c\nTTCN3.bts.3.assignment.timeout:0|c\nTTCN3.bts.3.assignment.timeout_sign:0|c\nTTCN3.bts.3.assignment.timeout_speech:0|c\nTTCN3.bts.3.assignment.failed:0|c\nTTCN3.bts.3.assignment.failed_sign:0|c\nTTCN3.bts.3.assignment.failed_speech:0|c" 05:48:58.842966 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.in_use:0|c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.842981 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.842991 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.843001 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843011 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.843021 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843032 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843042 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.843052 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843062 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843073 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843084 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.843094 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843104 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843114 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843127 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.843138 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843147 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843157 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.843167 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843177 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.843187 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843197 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.843207 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843221 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.borken:0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843231 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.843241 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.843251 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843260 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.843270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843281 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843291 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.843301 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843311 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843321 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843335 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.843346 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843355 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843365 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843375 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.843385 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843395 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843405 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.843415 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843425 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.843435 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843448 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.843459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843469 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.from_state.unknown:0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843479 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.843489 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.843498 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843508 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.843518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843528 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843538 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.843549 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843559 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843569 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843583 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.843594 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843604 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843614 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843623 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.843633 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843643 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843653 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.843663 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843673 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.843683 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843697 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.843707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843717 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843727 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.843737 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.843747 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843757 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.843767 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843777 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843787 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.843797 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843811 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843822 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843832 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.843842 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.843852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843862 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843872 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.843882 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.843892 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843902 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.843912 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843922 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.843932 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843946 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.843956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.843966 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.843976 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.843986 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.843996 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844005 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.844015 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844026 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844035 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.844046 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844056 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844066 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844080 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.844091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844101 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844110 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844120 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.844130 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844140 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844150 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.844160 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844170 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.844180 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844194 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.844204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844214 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken.event.teardown:0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844224 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.844234 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.844244 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844254 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.844264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844274 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844284 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.844295 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844305 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844315 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844325 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.844340 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844350 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844360 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844370 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.844380 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844390 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844400 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.844410 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844420 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.844430 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844440 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.844450 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844460 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.attempted:0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844474 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.844484 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.844494 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844504 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.844514 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844525 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844535 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.844545 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844555 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844566 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844576 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.844586 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844606 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844616 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.844626 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844640 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844650 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.844660 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844671 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.844681 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844691 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.844701 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844711 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.attempted_sign:0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844721 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.844731 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.844741 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844757 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.844768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844778 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844788 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.844799 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844809 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844820 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844830 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.844841 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.844850 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844860 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844870 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.844881 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.844890 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844900 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.844910 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844924 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.844935 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844945 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.844955 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.844965 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.attempted_speech:0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.844975 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.844985 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.844995 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845005 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.845015 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845025 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845035 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.845046 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845056 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845066 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845081 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.845091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845101 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845111 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845121 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.845131 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845141 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845151 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.845161 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845171 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.845181 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845191 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.845201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845211 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.completed:0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845221 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.845231 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.845245 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845255 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.845265 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845275 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845285 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.845296 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845306 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845316 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845327 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.845337 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845347 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845357 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845367 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.845377 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845387 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845397 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.845406 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845420 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.845431 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845440 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.845451 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845461 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.completed_sign:0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845471 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.845481 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.845491 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845501 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.845510 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845522 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845534 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.845544 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845554 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845564 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845575 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.845589 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845599 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845609 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845619 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.845629 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845638 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845648 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.845658 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845668 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.845678 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845688 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.845698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845721 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.completed_speech:0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845731 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.845741 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.845751 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845761 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.845775 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845786 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845796 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.845806 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845816 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845827 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845837 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.845848 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.845858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845867 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845877 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.845887 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.845897 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845907 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.845917 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845927 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.845937 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845947 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.845958 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.845974 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.stopped:0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.845986 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.845998 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.846009 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846018 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.846029 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846039 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846049 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.846060 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846070 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846080 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846090 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.846101 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846120 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846130 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.846140 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846150 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846161 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.846170 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846185 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.846195 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846205 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.846215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846225 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.stopped_sign:0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846234 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.846244 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.846254 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846264 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.846274 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846284 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846294 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.846304 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846314 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846325 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846334 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.846345 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846355 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846365 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846374 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.846388 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846398 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846408 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.846418 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846428 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.846438 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846448 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.846458 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846468 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.stopped_speech:0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846477 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.846487 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.846497 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846507 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.846517 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846527 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846537 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.846547 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846568 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846578 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.846588 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846598 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846612 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846622 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.846632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846641 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846651 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.846661 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846671 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.846681 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846691 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.846701 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846711 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.no_channel:0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846721 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.846730 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.846740 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846750 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.846760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846770 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846780 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.846790 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846800 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846810 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846820 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.846835 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.846844 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846854 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846864 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.846874 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.846884 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846894 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.846904 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846914 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.846924 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846933 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.846943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.846953 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.no_channel_sign:0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846963 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.846973 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.846983 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.846992 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.847002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847013 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847022 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.847033 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847043 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847053 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847063 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.847073 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847087 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847097 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847107 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.847117 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847127 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847136 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.847146 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847156 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.847166 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847176 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.847186 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847196 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.no_channel_speech:0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847206 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.847216 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.847226 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847235 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.847245 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847255 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847265 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.847276 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847285 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847296 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847306 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.847316 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847326 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847336 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847345 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.847355 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847369 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847383 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.847394 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847404 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.847414 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847423 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.847434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847443 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.timeout:0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847453 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.847463 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.847473 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847483 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.847494 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847505 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847515 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.847525 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847535 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847546 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847555 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.847566 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847575 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847585 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847595 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.847604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847614 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847624 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.847633 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847643 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.847653 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847667 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.847677 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847686 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.timeout_sign:0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847696 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.847706 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.847715 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847725 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.847735 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847745 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847754 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.847765 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847774 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847785 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847794 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.847805 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.847814 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847824 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847834 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.847844 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.847853 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847863 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.847872 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847882 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.847892 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847902 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.847912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847921 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.timeout_speech:0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847931 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.847941 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.847950 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847960 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.847970 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.847980 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.847989 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.848000 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848009 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848020 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848035 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.848046 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848055 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848065 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848075 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.848084 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848094 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848104 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.848113 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848123 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.848133 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848142 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.848152 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848162 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.failed:0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848172 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.848182 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.848191 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848201 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.848210 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848220 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848230 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.848240 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848250 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848260 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848270 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.848280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848299 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848309 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.848319 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848328 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848338 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.848348 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848357 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.848367 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848377 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.848386 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848396 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.failed_sign:0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848406 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.848416 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.848425 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848435 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.848445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848458 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848467 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.848478 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848498 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848508 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.848518 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848537 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848547 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.848557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848566 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848576 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.848586 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848596 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.848605 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848615 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.848625 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848635 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.failed_speech:0|c 05:48:58.848644 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.848654 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.848664 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.848673 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.848683 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848693 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.848702 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.848713 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848722 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.848733 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.848742 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.848753 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.848762 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.848772 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.848781 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.848791 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.848801 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.848811 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.848820 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.848830 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.848840 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.848849 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.848859 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.848869 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.848879 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.848889 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.848899 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.848908 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.848918 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.848928 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_speech", val := 0, mtype := "c", srate := omit } } 05:48:58.849010 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_speech", val := 0, mtype := "c", srate := omit } } } id 7 05:48:58.849030 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.849075 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.failed_speech", val := 0, mtype := "c", srate := omit } } } id 7 05:48:58.849091 27 StatsD_Checker.ttcn:199 Message with id 7 was extracted from the queue of STATS. 05:48:58.849210 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E61737369676E6D656E742E6572726F723A307C630A5454434E332E6274732E332E61737369676E6D656E742E6572726F725F7369676E3A307C630A5454434E332E6274732E332E61737369676E6D656E742E6572726F725F7370656563683A307C630A5454434E332E6274732E332E6C6F636174696F6E5F7570646174652E6163636570743A307C630A5454434E332E6274732E332E6C6F636174696F6E5F7570646174652E72656A6563743A307C630A5454434E332E6274732E332E6C6F636174696F6E5F7570646174652E6465746163683A307C630A5454434E332E6274732E332E6C6F636174696F6E5F7570646174652E756E6B6E6F776E3A307C630A5454434E332E6274732E332E68616E646F7665722E617474656D707465643A307C630A5454434E332E6274732E332E68616E646F7665722E636F6D706C657465643A307C630A5454434E332E6274732E332E68616E646F7665722E73746F707065643A307C630A5454434E332E6274732E332E68616E646F7665722E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E68616E646F7665722E74696D656F75743A307C630A5454434E332E6274732E332E68616E646F7665722E6661696C65643A307C630A5454434E332E6274732E332E68616E646F7665722E6572726F723A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E617474656D707465643A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E636F6D706C657465643A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E73746F707065643A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E74696D656F75743A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E6661696C65643A307C630A5454434E332E6274732E332E696E7472615F63656C6C5F686F2E6572726F723A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E74696D656F75743A307C63'O ("TTCN3.bts.3.assignment.error:0|c\nTTCN3.bts.3.assignment.error_sign:0|c\nTTCN3.bts.3.assignment.error_speech:0|c\nTTCN3.bts.3.location_update.accept:0|c\nTTCN3.bts.3.location_update.reject:0|c\nTTCN3.bts.3.location_update.detach:0|c\nTTCN3.bts.3.location_update.unknown:0|c\nTTCN3.bts.3.handover.attempted:0|c\nTTCN3.bts.3.handover.completed:0|c\nTTCN3.bts.3.handover.stopped:0|c\nTTCN3.bts.3.handover.no_channel:0|c\nTTCN3.bts.3.handover.timeout:0|c\nTTCN3.bts.3.handover.failed:0|c\nTTCN3.bts.3.handover.error:0|c\nTTCN3.bts.3.intra_cell_ho.attempted:0|c\nTTCN3.bts.3.intra_cell_ho.completed:0|c\nTTCN3.bts.3.intra_cell_ho.stopped:0|c\nTTCN3.bts.3.intra_cell_ho.no_channel:0|c\nTTCN3.bts.3.intra_cell_ho.timeout:0|c\nTTCN3.bts.3.intra_cell_ho.failed:0|c\nTTCN3.bts.3.intra_cell_ho.error:0|c\nTTCN3.bts.3.intra_bsc_ho.attempted:0|c\nTTCN3.bts.3.intra_bsc_ho.completed:0|c\nTTCN3.bts.3.intra_bsc_ho.stopped:0|c\nTTCN3.bts.3.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.3.intra_bsc_ho.timeout:0|c") } id 8 05:48:58.849234 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.assignment.error:0|c\nTTCN3.bts.3.assignment.error_sign:0|c\nTTCN3.bts.3.assignment.error_speech:0|c\nTTCN3.bts.3.location_update.accept:0|c\nTTCN3.bts.3.location_update.reject:0|c\nTTCN3.bts.3.location_update.detach:0|c\nTTCN3.bts.3.location_update.unknown:0|c\nTTCN3.bts.3.handover.attempted:0|c\nTTCN3.bts.3.handover.completed:0|c\nTTCN3.bts.3.handover.stopped:0|c\nTTCN3.bts.3.handover.no_channel:0|c\nTTCN3.bts.3.handover.timeout:0|c\nTTCN3.bts.3.handover.failed:0|c\nTTCN3.bts.3.handover.error:0|c\nTTCN3.bts.3.intra_cell_ho.attempted:0|c\nTTCN3.bts.3.intra_cell_ho.completed:0|c\nTTCN3.bts.3.intra_cell_ho.stopped:0|c\nTTCN3.bts.3.intra_cell_ho.no_channel:0|c\nTTCN3.bts.3.intra_cell_ho.timeout:0|c\nTTCN3.bts.3.intra_cell_ho.failed:0|c\nTTCN3.bts.3.intra_cell_ho.error:0|c\nTTCN3.bts.3.intra_bsc_ho.attempted:0|c\nTTCN3.bts.3.intra_bsc_ho.completed:0|c\nTTCN3.bts.3.intra_bsc_ho.stopped:0|c\nTTCN3.bts.3.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.3.intra_bsc_ho.timeout:0|c" 05:48:58.849268 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.error:0|c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849278 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.849288 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.849298 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849311 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.849321 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849332 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849342 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.849352 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849362 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849373 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849383 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.849393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849413 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849427 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.849437 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849446 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849456 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.849466 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849476 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.849486 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849496 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.849506 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849516 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.error_sign:0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849530 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.849540 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.849550 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849560 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.849569 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849580 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849589 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.849600 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849610 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849620 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849630 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.849641 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849650 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849660 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849674 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.849684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849694 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849709 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.849720 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849730 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.849740 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849749 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.849764 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849774 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.assignment.error_speech:0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849784 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.849794 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.849804 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849814 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.849824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849834 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849844 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.849854 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849864 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849875 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849888 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.849899 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.849909 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.849919 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849929 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.849939 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.849948 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849958 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.849969 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.849981 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.849993 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850008 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.850020 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850030 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.location_update.accept:0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850040 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.850050 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.850060 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850070 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.850080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850090 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850100 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.850111 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850120 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850131 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850145 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.850156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850166 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850176 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850186 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.850196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850206 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850216 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.850226 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850236 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.850246 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850260 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.850271 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850281 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.location_update.reject:0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850291 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.850301 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.850311 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850321 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.850331 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850341 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850351 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.850362 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850372 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850382 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850392 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.850407 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850427 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850437 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.850448 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850457 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850467 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.850477 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850488 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.850498 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850507 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.850518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850528 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.location_update.detach:0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850543 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.850554 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.850564 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850573 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.850583 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850594 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850604 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.850614 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850624 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850635 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850645 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.850655 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850675 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850689 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.850699 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850709 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850719 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.850729 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850739 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.850749 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850759 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.850769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850779 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.location_update.unknown:0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850789 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.850799 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.850812 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850823 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.850833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850843 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850853 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.850863 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850873 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850884 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850894 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.850904 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.850914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.850924 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850934 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.850944 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.850954 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850968 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.850978 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.850988 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.850998 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851008 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.851018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851028 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.attempted:0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851038 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.851048 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.851058 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851068 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.851078 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851088 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851102 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.851113 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851123 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851134 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851144 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.851154 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851164 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851174 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851184 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.851194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851204 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851214 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.851224 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851234 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.851248 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851258 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.851268 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851278 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.completed:0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851288 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.851298 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.851308 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851318 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.851328 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851338 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851349 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.851359 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851369 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851380 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851394 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.851405 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851415 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851424 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851435 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.851445 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851455 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851465 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.851474 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851485 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.851495 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851505 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.851515 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851525 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.stopped:0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851535 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.851549 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.851559 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851569 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.851579 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851589 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851599 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.851610 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851620 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851630 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851641 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.851651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851661 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851671 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851681 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.851691 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851701 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851711 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.851725 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851735 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.851745 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851755 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.851765 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851775 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.no_channel:0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851785 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.851795 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.851805 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851815 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.851825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851835 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851845 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.851856 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851865 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851876 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851890 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.851901 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.851910 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.851920 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851930 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.851940 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.851950 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851960 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.851970 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.851980 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.851990 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852000 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.852010 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852020 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.timeout:0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852030 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.852044 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.852054 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852064 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.852074 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852085 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852095 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.852105 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852115 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852126 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852136 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.852147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852156 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852166 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852176 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.852187 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852196 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852206 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.852216 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852230 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.852240 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852250 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.852260 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852270 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.failed:0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852280 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.852290 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.852300 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852310 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.852320 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852331 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852341 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.852351 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852361 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852372 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852382 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.852392 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852412 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852427 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.852437 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852447 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852457 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.852467 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852478 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.852488 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852500 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.852510 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852520 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.handover.error:0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852529 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.852539 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.852549 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852559 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.852569 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852579 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852593 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.852603 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852613 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852624 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852634 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.852645 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852664 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852674 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.852684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852694 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852703 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.852713 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852723 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.852733 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852743 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.852753 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852763 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.attempted:0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852777 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.852787 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.852796 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852806 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.852816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852826 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852836 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.852847 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852856 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852867 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852877 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.852887 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.852897 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.852907 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852916 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.852926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.852936 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852946 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.852956 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852965 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.852975 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.852991 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.853002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853011 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.completed:0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853021 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.853031 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.853041 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853051 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.853060 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853071 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853080 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.853091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853101 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853111 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853121 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.853131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853151 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853160 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.853170 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853180 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853190 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.853199 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853213 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.853223 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853233 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.853243 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853253 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.stopped:0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853262 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.853272 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.853282 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853292 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.853301 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853311 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853321 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.853332 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853341 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853352 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853361 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.853372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853381 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853391 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853401 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.853411 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853420 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853434 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.853444 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853453 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.853463 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853473 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.853483 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853493 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.no_channel:0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853503 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.853512 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.853522 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853532 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.853542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853552 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853562 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.853572 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853582 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853592 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853602 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.853612 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853622 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853632 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853642 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.853652 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853661 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853675 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.853685 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853695 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.853709 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853720 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.853730 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853740 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.timeout:0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853750 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.853760 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.853769 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853779 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.853789 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853799 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853809 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.853822 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853832 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853843 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853853 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.853863 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.853873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853883 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853893 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.853903 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.853912 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853922 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.853932 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853946 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.853956 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.853966 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.853978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.853989 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.failed:0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854000 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.854012 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.854022 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854032 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.854042 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854052 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854062 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.854072 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854082 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854092 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854102 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.854112 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854132 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854141 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.854151 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854161 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854171 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.854180 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854190 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.854200 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854209 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.854219 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854232 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_cell_ho.error:0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854242 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.854252 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.854261 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854271 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.854281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854291 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854300 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.854311 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854321 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854331 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854341 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.854351 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854361 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854370 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854380 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.854390 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854400 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854409 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.854419 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854429 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.854439 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854448 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.854458 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854468 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.attempted:0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854477 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.854487 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.854497 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854507 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.854516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854526 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854541 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.854551 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854561 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854571 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854581 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.854591 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854601 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854611 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854620 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.854630 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854640 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854650 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.854659 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854669 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.854679 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854688 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.854698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854708 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.completed:0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854718 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.854728 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.854737 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854747 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.854757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854767 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854777 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.854787 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854797 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854807 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854817 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.854827 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.854837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854847 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854856 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.854866 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.854876 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854885 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.854895 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854905 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.854915 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854928 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.854938 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.854948 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.stopped:0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854958 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.854968 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.854977 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.854987 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.854997 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855007 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855016 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.855027 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855036 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.855047 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855057 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.855067 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855086 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855096 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.855106 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.855115 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855125 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.855135 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855144 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.855154 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855164 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.855174 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855184 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.no_channel:0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855193 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.855203 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.855213 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855223 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.855233 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855243 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855252 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.855263 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855272 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.855283 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855292 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.855303 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855312 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855322 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855332 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.855341 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.855351 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855361 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.855370 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855380 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.855395 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855405 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.855415 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855425 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.timeout:0|c 05:48:58.855434 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.855444 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.855454 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.855463 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.855473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855483 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.855493 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.855505 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855515 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.855526 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.855535 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.855545 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.855555 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.855565 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.855574 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.855584 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.855594 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.855603 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.855613 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.855622 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.855632 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.855642 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.855651 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.855661 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.855670 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.855681 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.855690 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.855700 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.855710 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.855719 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit } } 05:48:58.855803 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit } } } id 8 05:48:58.855823 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.855863 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit } } } id 8 05:48:58.855884 27 StatsD_Checker.ttcn:199 Message with id 8 was extracted from the queue of STATS. 05:48:58.856006 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E332E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E332E696E636F6D696E675F696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E74696D656F75743A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E617474656D707465643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E6661696C65643A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6274732E332E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6274732E332E73727663632E617474656D707465643A307C630A5454434E332E6274732E332E73727663632E636F6D706C657465643A307C63'O ("TTCN3.bts.3.intra_bsc_ho.failed:0|c\nTTCN3.bts.3.intra_bsc_ho.error:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.3.interbsc_ho_out.attempted:0|c\nTTCN3.bts.3.interbsc_ho_out.completed:0|c\nTTCN3.bts.3.interbsc_ho_out.stopped:0|c\nTTCN3.bts.3.interbsc_ho_out.timeout:0|c\nTTCN3.bts.3.interbsc_ho_out.failed:0|c\nTTCN3.bts.3.interbsc_ho_out.error:0|c\nTTCN3.bts.3.interbsc_ho_in.attempted:0|c\nTTCN3.bts.3.interbsc_ho_in.completed:0|c\nTTCN3.bts.3.interbsc_ho_in.stopped:0|c\nTTCN3.bts.3.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.3.interbsc_ho_in.failed:0|c\nTTCN3.bts.3.interbsc_ho_in.timeout:0|c\nTTCN3.bts.3.interbsc_ho_in.error:0|c\nTTCN3.bts.3.srvcc.attempted:0|c\nTTCN3.bts.3.srvcc.completed:0|c") } id 9 05:48:58.856030 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.intra_bsc_ho.failed:0|c\nTTCN3.bts.3.intra_bsc_ho.error:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.3.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.3.interbsc_ho_out.attempted:0|c\nTTCN3.bts.3.interbsc_ho_out.completed:0|c\nTTCN3.bts.3.interbsc_ho_out.stopped:0|c\nTTCN3.bts.3.interbsc_ho_out.timeout:0|c\nTTCN3.bts.3.interbsc_ho_out.failed:0|c\nTTCN3.bts.3.interbsc_ho_out.error:0|c\nTTCN3.bts.3.interbsc_ho_in.attempted:0|c\nTTCN3.bts.3.interbsc_ho_in.completed:0|c\nTTCN3.bts.3.interbsc_ho_in.stopped:0|c\nTTCN3.bts.3.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.3.interbsc_ho_in.failed:0|c\nTTCN3.bts.3.interbsc_ho_in.timeout:0|c\nTTCN3.bts.3.interbsc_ho_in.error:0|c\nTTCN3.bts.3.srvcc.attempted:0|c\nTTCN3.bts.3.srvcc.completed:0|c" 05:48:58.856065 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.failed:0|c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856076 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.856085 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.856095 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856105 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.856119 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856130 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856140 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.856150 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856160 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856171 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856181 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.856191 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856211 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856221 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.856231 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856240 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856254 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.856264 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.856284 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856294 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.856304 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856314 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.intra_bsc_ho.error:0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856324 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.856334 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.856343 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856359 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.856369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856379 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856389 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.856400 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856409 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856420 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856430 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.856440 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856450 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856459 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856474 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.856484 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856494 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856503 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.856513 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856523 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.856533 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856543 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.856553 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856563 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856576 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.856586 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.856596 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856606 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.856616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856626 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856636 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.856646 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856656 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856666 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856676 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.856686 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856696 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856706 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856720 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.856730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856739 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856749 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.856759 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856769 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.856779 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856788 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.856798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856808 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856822 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.856832 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.856842 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856851 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.856861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856881 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.856891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856901 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856911 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856921 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.856931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.856941 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.856951 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856965 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.856975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.856984 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.856994 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.857004 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857014 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.857024 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857034 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.857044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857053 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857067 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.857077 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.857086 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857096 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.857106 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857116 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857126 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.857136 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857146 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857156 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857166 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.857176 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857186 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857196 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857210 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.857220 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857229 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857239 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.857249 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857259 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.857269 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857278 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.857288 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857298 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857308 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.857318 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.857331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.857351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.857381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857401 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857411 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.857421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857441 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857451 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.857460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857470 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857484 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.857494 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857504 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.857513 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857523 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.857533 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857543 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857553 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.857562 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.857572 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857582 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.857592 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857602 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857615 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.857626 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857636 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857646 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857656 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.857666 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857686 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857695 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.857722 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857734 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857744 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.857754 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857769 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.857779 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857789 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.857799 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857809 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857819 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.857829 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.857838 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857848 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.857858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857868 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857878 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.857889 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857898 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857909 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857923 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.857934 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.857943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.857953 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857963 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.857975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.857986 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.857998 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.858009 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858020 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.858030 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858040 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.858050 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858060 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.incoming_intra_bsc_ho.error:0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858074 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.858084 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.858094 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858104 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.858114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858124 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858134 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.858145 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858155 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858165 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858175 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.858186 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858196 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858205 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858215 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.858225 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858235 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858249 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.858259 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858269 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.858279 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858289 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.858299 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858309 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.attempted:0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858319 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.858329 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.858339 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858349 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.858359 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858369 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858379 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.858390 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858400 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858410 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858426 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.858437 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858447 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858457 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858467 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.858477 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858487 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858497 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.858507 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858517 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.858527 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858537 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.858547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858557 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.completed:0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858567 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.858581 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.858591 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858601 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.858611 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858622 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858632 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.858642 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858652 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858663 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858673 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.858684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858704 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858714 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.858724 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858734 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858744 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.858754 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858768 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.858778 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858788 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.858798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858808 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.stopped:0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858818 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.858828 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.858838 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858848 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.858858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858869 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858879 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.858889 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858910 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858920 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.858930 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.858940 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.858950 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858964 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.858974 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.858984 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.858994 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.859004 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859014 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.859024 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859034 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.859044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859054 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.timeout:0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859064 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.859074 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.859084 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859093 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.859103 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859114 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859124 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.859134 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859144 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859155 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859168 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.859179 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859188 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859198 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859208 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.859218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859227 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859237 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.859247 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859257 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.859267 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859276 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.859286 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859296 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.failed:0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859306 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.859316 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.859325 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859335 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.859345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859355 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859368 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.859379 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859389 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859399 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859409 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.859419 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859429 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859438 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859448 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.859458 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859468 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859477 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.859487 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859497 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.859507 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859519 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.859529 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859539 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_out.error:0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859549 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.859559 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.859569 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859582 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.859592 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859602 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859612 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.859622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859643 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859652 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.859663 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859672 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859682 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859692 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.859701 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859711 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859721 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.859731 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859740 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.859750 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859760 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.859770 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859780 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.attempted:0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859790 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.859800 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.859809 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859823 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.859833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859843 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859853 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.859863 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859873 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859883 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859893 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.859903 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.859913 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.859923 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859933 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.859942 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.859952 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859962 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.859972 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.859982 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.859992 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860001 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.860011 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860021 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.completed:0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860031 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.860041 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.860051 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860064 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.860074 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860084 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860094 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.860104 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860114 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860125 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860134 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.860145 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860164 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860174 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.860184 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860193 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860203 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.860213 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860223 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.860233 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860242 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.860252 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860262 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.stopped:0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860272 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.860282 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.860292 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860303 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.860314 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860324 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860338 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.860348 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860358 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860369 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860378 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.860388 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860408 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860418 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.860427 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860437 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860447 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.860456 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860466 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.860476 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860486 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.860495 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860505 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.no_channel:0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860515 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.860525 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.860534 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860544 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.860554 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860564 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860573 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.860584 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860593 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860604 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860613 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.860624 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860633 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860643 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860656 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.860667 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860676 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860686 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.860695 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860705 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.860715 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860724 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.860734 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860744 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.failed:0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860754 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.860763 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.860773 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860783 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.860792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860803 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860812 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.860822 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860832 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860843 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860852 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.860862 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.860872 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860882 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860891 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.860901 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.860911 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860921 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.860930 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860940 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.860950 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860959 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.860969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.860979 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.timeout:0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.860988 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.861002 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.861012 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861022 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.861031 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861042 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861051 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.861062 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861071 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861082 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861091 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.861101 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861121 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861130 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.861140 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861150 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861159 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.861169 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861179 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.861188 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861198 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.861208 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861218 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.interbsc_ho_in.error:0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861227 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.861237 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.861247 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861256 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.861266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861276 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861286 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.861296 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861306 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861316 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861326 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.861336 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861355 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861365 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.861375 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861384 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861394 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.861403 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861413 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.861423 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861437 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.861447 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861457 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.attempted:0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861467 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.861476 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.861486 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861495 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.861505 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861515 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861525 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.861535 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861545 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861555 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861565 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.861575 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861585 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861595 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861604 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.861614 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861624 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861633 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.861643 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861653 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.861662 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861672 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.861682 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861692 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.completed:0|c 05:48:58.861701 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.861716 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.861726 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.861735 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.861745 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861755 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.861764 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.861775 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861784 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.861795 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.861804 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.861815 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.861824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.861834 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.861843 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.861853 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.861863 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.861872 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.861882 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.861892 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.861902 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.861911 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.861921 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.861931 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.861940 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.861950 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.861960 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.861971 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.861983 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.861994 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.completed", val := 0, mtype := "c", srate := omit } } 05:48:58.862081 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.completed", val := 0, mtype := "c", srate := omit } } } id 9 05:48:58.862107 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.862148 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.completed", val := 0, mtype := "c", srate := omit } } } id 9 05:48:58.862165 27 StatsD_Checker.ttcn:199 Message with id 9 was extracted from the queue of STATS. 05:48:58.862284 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E73727663632E73746F707065643A307C630A5454434E332E6274732E332E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E332E73727663632E74696D656F75743A307C630A5454434E332E6274732E332E73727663632E6661696C65643A307C630A5454434E332E6274732E332E73727663632E6572726F723A307C630A5454434E332E6274732E332E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6274732E332E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6274732E332E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6274732E332E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6274732E332E636D5F736572765F72656A3A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F686C723A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696C6C6567616C5F6D733A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F766C723A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696D65695F6E6F745F61636365707465643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696C6C6567616C5F6D653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E706C6D6E5F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E6C6F635F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E726F616D696E675F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E6E6574776F726B5F6661696C7572653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E73796E63685F6661696C7572653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E636F6E67657374696F6E3A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E7271645F7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E7372765F6F70745F746D705F6F75745F6F665F6F726465723A307C63'O ("TTCN3.bts.3.srvcc.stopped:0|c\nTTCN3.bts.3.srvcc.no_channel:0|c\nTTCN3.bts.3.srvcc.timeout:0|c\nTTCN3.bts.3.srvcc.failed:0|c\nTTCN3.bts.3.srvcc.error:0|c\nTTCN3.bts.3.all_allocated.sdcch:0|c\nTTCN3.bts.3.all_allocated.static_sdcch:0|c\nTTCN3.bts.3.all_allocated.tch:0|c\nTTCN3.bts.3.all_allocated.static_tch:0|c\nTTCN3.bts.3.cm_serv_rej:0|c\nTTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.3.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.3.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.network_failure:0|c\nTTCN3.bts.3.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.3.cm_serv_rej.congestion:0|c\nTTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c") } id 10 05:48:58.862308 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.srvcc.stopped:0|c\nTTCN3.bts.3.srvcc.no_channel:0|c\nTTCN3.bts.3.srvcc.timeout:0|c\nTTCN3.bts.3.srvcc.failed:0|c\nTTCN3.bts.3.srvcc.error:0|c\nTTCN3.bts.3.all_allocated.sdcch:0|c\nTTCN3.bts.3.all_allocated.static_sdcch:0|c\nTTCN3.bts.3.all_allocated.tch:0|c\nTTCN3.bts.3.all_allocated.static_tch:0|c\nTTCN3.bts.3.cm_serv_rej:0|c\nTTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.3.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.3.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.3.cm_serv_rej.network_failure:0|c\nTTCN3.bts.3.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.3.cm_serv_rej.congestion:0|c\nTTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c" 05:48:58.862343 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.stopped:0|c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862357 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.862367 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.862377 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862387 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.862397 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862408 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862418 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.862428 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862438 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.862449 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862459 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.862470 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862479 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862489 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862503 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.862513 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.862523 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862533 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.862543 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862553 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.862563 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862573 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.862587 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862597 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.no_channel:0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862606 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.862616 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.862626 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862636 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.862646 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862656 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862666 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.862676 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862686 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.862697 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862710 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.862721 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862731 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862741 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862750 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.862760 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.862770 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862780 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.862790 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862799 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.862809 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862823 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.862833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862843 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.timeout:0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862853 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.862863 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.862872 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862882 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.862892 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862902 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862912 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.862922 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862932 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.862943 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862956 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.862967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.862977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.862987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.862996 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.863006 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863016 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863026 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.863036 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863050 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.863060 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863070 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.863080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863090 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.failed:0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863100 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.863110 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.863119 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863129 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.863139 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863150 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863163 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.863174 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863184 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863194 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863204 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.863215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863225 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863235 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863244 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.863254 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863264 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863274 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.863284 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863298 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.863308 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.863327 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863337 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.srvcc.error:0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863347 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.863357 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.863367 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863377 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.863387 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863397 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863412 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.863422 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863432 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863443 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863453 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.863463 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863483 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863493 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.863504 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863515 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863525 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.863535 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863549 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.863559 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863569 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.863579 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863589 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.all_allocated.sdcch:0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863598 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.863608 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.863618 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863628 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.863638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863648 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863661 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.863672 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863682 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863693 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863702 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.863713 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863722 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863732 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863742 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.863752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863762 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863772 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.863781 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863791 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.863805 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863815 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.863825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863835 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.all_allocated.static_sdcch:0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863845 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.863855 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.863865 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863875 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.863885 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863895 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863905 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.863915 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863925 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.863935 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863952 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.863962 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.863972 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.863982 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.863992 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.864002 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864011 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864021 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.864031 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864041 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.864051 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864065 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.864075 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864085 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.all_allocated.tch:0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.864105 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.864114 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864124 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.864134 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864144 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864154 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.864165 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864185 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864195 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.864205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864229 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864239 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.864249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864259 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.864279 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.864299 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864308 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.864319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864328 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.all_allocated.static_tch:0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864343 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.864354 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.864363 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864373 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.864383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864394 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864404 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.864414 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864424 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864435 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864445 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.864455 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864465 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864475 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864485 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.864495 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864504 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864518 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.864529 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864538 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.864548 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864558 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.864568 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864578 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864588 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.864598 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.864608 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864617 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.864627 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864638 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864651 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.864662 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864672 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864682 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864692 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.864703 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864712 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864722 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864732 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.864742 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864752 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864762 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.864772 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864782 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.864792 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864805 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.864815 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864825 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864835 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.864845 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.864855 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864865 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.864875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864895 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.864905 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864915 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.864926 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864936 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.864947 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.864956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.864966 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.864980 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.864990 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865000 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865010 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.865020 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865030 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.865040 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865050 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.865060 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865070 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.illegal_ms:0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865080 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.865090 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.865100 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865114 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.865124 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865134 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865144 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.865155 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865165 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865176 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865186 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.865196 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865216 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865226 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.865236 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865245 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865255 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.865265 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865275 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.865285 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865299 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.865309 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865319 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865328 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.865338 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.865348 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865358 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.865368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865378 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865388 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.865398 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865408 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865419 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865428 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.865439 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865448 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865458 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865472 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.865482 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865492 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865503 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.865514 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865526 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.865537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865546 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.865557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865566 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865576 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.865586 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.865596 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865606 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.865616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865626 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865640 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.865651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865660 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865671 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865681 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.865691 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865701 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865716 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865726 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.865736 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865746 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865756 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.865765 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865775 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.865785 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865795 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.865805 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865815 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.illegal_me:0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865825 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.865835 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.865844 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865858 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.865868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865878 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865888 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.865898 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865908 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865919 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865929 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.865939 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.865949 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.865959 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865968 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.865978 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.865988 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.865998 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.866007 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866017 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.866027 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866040 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.866051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866060 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866070 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.866080 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.866090 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866099 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.866109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866119 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866129 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.866139 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866149 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866159 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866169 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.866179 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866199 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866208 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.866218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866228 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866237 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.866247 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866261 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.866271 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866280 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.866290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866300 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866310 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.866320 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.866329 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866339 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.866348 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866358 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866368 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.866378 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866388 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866398 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866408 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.866418 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866438 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866447 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.866457 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866467 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866477 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.866486 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866502 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.866512 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866522 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.866532 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866541 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866551 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.866562 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.866573 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866585 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.866596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866608 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866617 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.866628 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866638 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866648 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866658 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.866668 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866678 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866688 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866697 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.866707 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866717 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866727 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.866737 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866750 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.866760 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866770 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.866780 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866790 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.network_failure:0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866800 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.866810 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.866820 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866829 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.866839 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866850 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866859 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.866870 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866880 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866890 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866900 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.866911 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.866920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.866930 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866940 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.866950 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.866959 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866969 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.866979 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.866989 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.866999 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867008 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.867018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867028 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.synch_failure:0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867042 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.867052 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.867062 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867072 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.867082 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867092 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867102 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.867113 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867122 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867133 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867143 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.867153 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867163 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867173 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867183 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.867193 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867202 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867212 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.867222 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867232 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.867242 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867252 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.867262 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867272 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.congestion:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867281 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.867291 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.867301 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867311 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.867321 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867331 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867341 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.867355 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867365 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867376 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867386 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.867396 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867406 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867416 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867426 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.867436 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867445 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867455 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.867465 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867475 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.867484 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867494 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.867504 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867514 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867524 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.867534 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.867543 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867553 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.867565 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867575 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867585 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.867595 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867605 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867616 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867625 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.867636 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867655 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867665 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.867674 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867684 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867694 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.867703 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867713 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.867723 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867737 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.867747 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867757 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867767 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.867777 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.867786 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867796 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.867806 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867816 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867825 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.867838 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867848 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867859 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867868 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.867879 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.867889 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867898 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867908 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.867918 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.867928 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867938 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.867948 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867957 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.867967 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.867977 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.867987 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.867997 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order:0|c 05:48:58.868006 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.868016 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.868026 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.868035 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.868045 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868055 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.868065 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.868075 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.868085 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.868095 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.868104 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.868115 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.868124 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868134 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.868143 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.868153 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.868163 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.868172 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.868182 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.868192 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.868201 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.868211 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.868220 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.868230 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.868240 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.868250 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.868259 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.868269 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.868283 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.868293 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit } } 05:48:58.868370 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit } } } id 10 05:48:58.868393 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.868433 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit } } } id 10 05:48:58.868450 27 StatsD_Checker.ttcn:199 Message with id 10 was extracted from the queue of STATS. 05:48:58.868574 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E636D5F736572765F72656A2E63616C6C5F63616E5F6E6F745F62655F6964656E7469666965643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696E636F72726563745F6D6573736167653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696E76616C69645F6D616E64616E746F72795F696E663A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E6D73675F747970655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E6D73675F747970655F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E696E665F656C656D655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E636F6E6474696F6E616C5F69655F6572726F723A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E6D73675F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E70726F746F636F6C5F6572726F723A307C630A5454434E332E6274732E332E636D5F736572765F72656A2E72657472795F696E5F6E65775F63656C6C3A307C630A5454434E332E6274732E322E63687265712E746F74616C3A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F656D6572673A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F63616C6C3A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F7061673A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F706463683A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F6F746865723A307C630A5454434E332E6274732E322E63687265712E617474656D707465645F756E6B6E6F776E3A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C3A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F656D6572673A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F63616C6C3A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F7061673A307C63'O ("TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.3.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.3.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.2.chreq.total:0|c\nTTCN3.bts.2.chreq.attempted_emerg:0|c\nTTCN3.bts.2.chreq.attempted_call:0|c\nTTCN3.bts.2.chreq.attempted_location_upd:0|c\nTTCN3.bts.2.chreq.attempted_pag:0|c\nTTCN3.bts.2.chreq.attempted_pdch:0|c\nTTCN3.bts.2.chreq.attempted_other:0|c\nTTCN3.bts.2.chreq.attempted_unknown:0|c\nTTCN3.bts.2.chreq.successful:0|c\nTTCN3.bts.2.chreq.successful_emerg:0|c\nTTCN3.bts.2.chreq.successful_call:0|c\nTTCN3.bts.2.chreq.successful_location_upd:0|c\nTTCN3.bts.2.chreq.successful_pag:0|c") } id 11 05:48:58.868599 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.3.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.3.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.2.chreq.total:0|c\nTTCN3.bts.2.chreq.attempted_emerg:0|c\nTTCN3.bts.2.chreq.attempted_call:0|c\nTTCN3.bts.2.chreq.attempted_location_upd:0|c\nTTCN3.bts.2.chreq.attempted_pag:0|c\nTTCN3.bts.2.chreq.attempted_pdch:0|c\nTTCN3.bts.2.chreq.attempted_other:0|c\nTTCN3.bts.2.chreq.attempted_unknown:0|c\nTTCN3.bts.2.chreq.successful:0|c\nTTCN3.bts.2.chreq.successful_emerg:0|c\nTTCN3.bts.2.chreq.successful_call:0|c\nTTCN3.bts.2.chreq.successful_location_upd:0|c\nTTCN3.bts.2.chreq.successful_pag:0|c" 05:48:58.868633 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868646 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.868657 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.868666 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868676 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.868686 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868697 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868706 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.868717 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.868727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.868738 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.868758 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.868771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868781 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868791 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.868801 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.868811 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868821 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.868831 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868840 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.868850 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868864 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.868874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868884 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.incorrect_message:0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868894 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.868904 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.868913 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868923 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.868933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.868943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.868963 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.868973 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.868984 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.868997 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.869008 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869017 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869027 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869037 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.869047 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869057 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869066 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.869076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.869096 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869109 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.869120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869129 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869139 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.869149 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.869159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869168 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.869178 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869188 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869198 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.869208 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869229 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869242 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.869253 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869262 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869272 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869282 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.869292 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869301 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869311 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.869321 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869331 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.869341 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869357 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.869367 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869377 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869387 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.869397 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.869406 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869416 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.869426 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869436 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869446 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.869456 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869466 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869476 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869490 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.869500 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869510 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869520 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869530 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.869540 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869549 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869559 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.869569 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869579 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.869588 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869602 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.869612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869622 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869632 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.869641 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.869651 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869661 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.869671 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869681 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869690 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.869701 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869722 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869733 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869747 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.869758 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869777 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869787 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.869797 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869807 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869816 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.869826 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869836 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.869846 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869856 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.869866 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869875 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869889 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.869899 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.869909 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869918 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.869928 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.869938 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869948 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.869959 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.869969 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.869982 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.869993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.870004 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870016 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870026 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870040 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.870050 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870060 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.870080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870090 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.870100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870109 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.870119 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870129 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870139 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.870149 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.870159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870172 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.870182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870192 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870202 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.870212 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870222 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870233 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870243 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.870253 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870263 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870273 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870282 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.870292 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870302 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870312 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.870322 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870336 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.870346 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870355 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.870365 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870375 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870385 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.870395 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.870405 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870414 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.870424 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870435 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870444 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.870455 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870464 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870475 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870489 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.870499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870509 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870519 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870529 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.870538 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870548 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870558 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.870568 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870578 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.870588 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870597 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.870607 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870617 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.protocol_error:0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870627 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.870637 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.870647 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870660 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.870670 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870680 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870690 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.870701 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870710 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870721 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870731 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.870741 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870751 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870761 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870770 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.870780 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870790 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870800 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.870810 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870824 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.870834 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870843 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.870853 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870863 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870873 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.870883 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.870893 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870903 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.870913 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.870923 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870933 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.870943 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870953 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.870964 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.870974 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.870984 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.870994 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871004 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871017 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.871027 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871037 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871047 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.871057 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871067 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.871076 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871086 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.871096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871106 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.total:0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871116 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.871126 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.871135 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871145 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.871155 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871165 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871180 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.871190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871200 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871211 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871221 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.871231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871251 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871261 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.871270 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871280 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871290 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.871300 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871310 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.871320 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871329 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.871339 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871349 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_emerg:0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871363 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.871373 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.871383 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871393 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.871403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871413 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871423 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.871433 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871443 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871454 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871463 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.871476 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871489 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871499 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871510 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.871521 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871532 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871542 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.871551 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871561 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.871571 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871585 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.871595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871605 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_call:0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871615 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.871624 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.871634 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871644 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.871654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871664 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871673 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.871684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871694 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871704 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871714 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.871724 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871734 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871744 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871753 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.871763 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871773 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871782 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.871792 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871808 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.871818 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871827 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.871837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871847 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_location_upd:0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871857 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.871867 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.871876 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871886 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.871896 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871906 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871915 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.871926 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871935 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.871946 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871956 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.871966 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.871976 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.871985 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.871995 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.872005 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872014 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872028 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.872038 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872048 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.872057 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872067 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.872077 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872087 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_pag:0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872096 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.872106 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.872116 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872125 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.872135 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872145 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872155 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.872165 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872175 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872185 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872195 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.872205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872224 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872234 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.872244 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872254 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872267 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.872277 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872287 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.872297 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872306 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.872316 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872326 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_pdch:0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872336 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.872346 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.872355 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872365 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.872375 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872385 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872395 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.872405 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872415 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872425 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872435 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.872445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872455 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872465 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872474 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.872484 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872494 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872503 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.872517 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872527 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.872537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872546 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.872556 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872566 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_other:0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872576 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.872585 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.872595 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872605 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.872614 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872625 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872634 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.872644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872654 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872665 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872674 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.872684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872704 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872713 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.872723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872733 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872742 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.872752 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872762 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.872772 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872785 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.872795 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872805 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.attempted_unknown:0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872815 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.872824 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.872834 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872844 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.872853 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872863 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872873 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.872883 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872893 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872904 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872913 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.872923 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.872933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.872943 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872952 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.872962 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.872972 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.872982 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.872991 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873001 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.873011 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873020 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.873030 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873040 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful:0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873049 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.873059 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.873069 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873078 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.873088 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873102 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873112 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.873122 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873132 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873142 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873152 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.873162 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873182 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873191 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.873201 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873211 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873220 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.873230 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873240 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.873250 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873259 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.873269 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873279 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_emerg:0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873289 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.873299 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.873309 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873318 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.873328 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873338 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873348 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.873358 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873368 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873379 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873388 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.873399 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873408 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873418 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873428 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.873438 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873447 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873457 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.873471 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873481 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.873491 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873500 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.873510 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873520 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_call:0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873530 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.873540 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.873550 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873559 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.873569 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873579 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873589 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.873599 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873609 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873620 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873629 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.873640 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873649 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873659 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873669 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.873678 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873688 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873698 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.873712 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873722 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.873732 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873742 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.873752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873762 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_location_upd:0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873771 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.873781 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.873791 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873801 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.873810 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873821 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873830 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.873840 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873850 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873861 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873870 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.873882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.873893 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.873903 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873912 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.873926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.873936 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873946 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.873956 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873965 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.873975 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.873985 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.873995 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874005 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_pag:0|c 05:48:58.874014 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.874024 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.874034 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.874043 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.874053 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874063 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.874073 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.874083 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.874093 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.874103 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.874113 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.874123 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.874133 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874142 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.874152 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.874162 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.874171 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.874181 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.874190 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.874200 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.874210 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.874219 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.874229 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.874238 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.874248 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.874258 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.874267 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.874277 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.874287 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.874296 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_pag", val := 0, mtype := "c", srate := omit } } 05:48:58.874378 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_pag", val := 0, mtype := "c", srate := omit } } } id 11 05:48:58.874398 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.874438 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.3.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_pag", val := 0, mtype := "c", srate := omit } } } id 11 05:48:58.874459 27 StatsD_Checker.ttcn:199 Message with id 11 was extracted from the queue of STATS. 05:48:58.874576 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E63687265712E7375636365737366756C5F706463683A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F6F746865723A307C630A5454434E332E6274732E322E63687265712E7375636365737366756C5F756E6B6E6F776E3A307C630A5454434E332E6274732E322E63687265712E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E63687265712E6D61785F64656C61795F65786365656465643A307C630A5454434E332E6274732E322E6368616E2E72665F6661696C3A307C630A5454434E332E6274732E322E6368616E2E72665F6661696C5F7463683A307C630A5454434E332E6274732E322E6368616E2E72665F6661696C5F73646363683A307C630A5454434E332E6274732E322E6368616E2E726C6C5F6572723A307C630A5454434E332E6274732E322E6F6D6C5F6661696C3A307C630A5454434E332E6274732E322E72736C5F6661696C3A307C630A5454434E332E6274732E322E636F6465632E616D725F663A307C630A5454434E332E6274732E322E636F6465632E616D725F683A307C630A5454434E332E6274732E322E636F6465632E6566723A307C630A5454434E332E6274732E322E636F6465632E66723A307C630A5454434E332E6274732E322E636F6465632E68723A307C630A5454434E332E6274732E322E706167696E672E617474656D707465643A307C630A5454434E332E6274732E322E706167696E672E616C72656164793A307C630A5454434E332E6274732E322E706167696E672E726573706F6E6465643A307C630A5454434E332E6274732E322E706167696E672E657870697265643A307C630A5454434E332E6274732E322E706167696E672E6E6F5F6163746976655F706167696E673A307C630A5454434E332E6274732E322E706167696E672E6D73635F666C7573683A307C630A5454434E332E6274732E322E706167696E672E6F7665726C6F61643A307C630A5454434E332E6274732E322E6368616E5F6163742E746F74616C3A307C630A5454434E332E6274732E322E6368616E5F6163742E73646363683A307C630A5454434E332E6274732E322E6368616E5F6163742E7463683A307C630A5454434E332E6274732E322E6368616E5F6163742E6E61636B3A307C630A5454434E332E6274732E322E6368616E5F7463682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E322E6368616E5F73646363682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C63'O ("TTCN3.bts.2.chreq.successful_pdch:0|c\nTTCN3.bts.2.chreq.successful_other:0|c\nTTCN3.bts.2.chreq.successful_unknown:0|c\nTTCN3.bts.2.chreq.no_channel:0|c\nTTCN3.bts.2.chreq.max_delay_exceeded:0|c\nTTCN3.bts.2.chan.rf_fail:0|c\nTTCN3.bts.2.chan.rf_fail_tch:0|c\nTTCN3.bts.2.chan.rf_fail_sdcch:0|c\nTTCN3.bts.2.chan.rll_err:0|c\nTTCN3.bts.2.oml_fail:0|c\nTTCN3.bts.2.rsl_fail:0|c\nTTCN3.bts.2.codec.amr_f:0|c\nTTCN3.bts.2.codec.amr_h:0|c\nTTCN3.bts.2.codec.efr:0|c\nTTCN3.bts.2.codec.fr:0|c\nTTCN3.bts.2.codec.hr:0|c\nTTCN3.bts.2.paging.attempted:0|c\nTTCN3.bts.2.paging.already:0|c\nTTCN3.bts.2.paging.responded:0|c\nTTCN3.bts.2.paging.expired:0|c\nTTCN3.bts.2.paging.no_active_paging:0|c\nTTCN3.bts.2.paging.msc_flush:0|c\nTTCN3.bts.2.paging.overload:0|c\nTTCN3.bts.2.chan_act.total:0|c\nTTCN3.bts.2.chan_act.sdcch:0|c\nTTCN3.bts.2.chan_act.tch:0|c\nTTCN3.bts.2.chan_act.nack:0|c\nTTCN3.bts.2.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c") } id 12 05:48:58.874600 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.chreq.successful_pdch:0|c\nTTCN3.bts.2.chreq.successful_other:0|c\nTTCN3.bts.2.chreq.successful_unknown:0|c\nTTCN3.bts.2.chreq.no_channel:0|c\nTTCN3.bts.2.chreq.max_delay_exceeded:0|c\nTTCN3.bts.2.chan.rf_fail:0|c\nTTCN3.bts.2.chan.rf_fail_tch:0|c\nTTCN3.bts.2.chan.rf_fail_sdcch:0|c\nTTCN3.bts.2.chan.rll_err:0|c\nTTCN3.bts.2.oml_fail:0|c\nTTCN3.bts.2.rsl_fail:0|c\nTTCN3.bts.2.codec.amr_f:0|c\nTTCN3.bts.2.codec.amr_h:0|c\nTTCN3.bts.2.codec.efr:0|c\nTTCN3.bts.2.codec.fr:0|c\nTTCN3.bts.2.codec.hr:0|c\nTTCN3.bts.2.paging.attempted:0|c\nTTCN3.bts.2.paging.already:0|c\nTTCN3.bts.2.paging.responded:0|c\nTTCN3.bts.2.paging.expired:0|c\nTTCN3.bts.2.paging.no_active_paging:0|c\nTTCN3.bts.2.paging.msc_flush:0|c\nTTCN3.bts.2.paging.overload:0|c\nTTCN3.bts.2.chan_act.total:0|c\nTTCN3.bts.2.chan_act.sdcch:0|c\nTTCN3.bts.2.chan_act.tch:0|c\nTTCN3.bts.2.chan_act.nack:0|c\nTTCN3.bts.2.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c" 05:48:58.874634 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_pdch:0|c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874644 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.874654 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.874664 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874674 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.874684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874694 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874708 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.874719 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.874729 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.874739 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874749 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.874760 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.874769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874779 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874789 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.874799 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.874809 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874823 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.874833 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.874852 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874862 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.874872 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874882 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_other:0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874892 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.874902 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.874911 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874925 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.874935 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.874945 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874955 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.874965 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.874975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.874985 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.874995 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.875006 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875015 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875025 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875035 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.875045 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875054 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.875080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875090 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.875100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875110 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.875120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875130 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.successful_unknown:0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875139 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.875149 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.875159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875172 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.875182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875193 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875202 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.875213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875233 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875243 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.875254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875263 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875273 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875283 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.875296 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875306 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875315 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.875325 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875335 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.875345 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875355 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.875365 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875375 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.no_channel:0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875385 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.875394 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.875408 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875418 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.875428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875448 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.875458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875468 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875479 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875488 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.875499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875509 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875518 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875528 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.875542 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875552 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875562 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.875572 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875581 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.875591 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875601 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.875611 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875621 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chreq.max_delay_exceeded:0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875631 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.875641 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.875651 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875664 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.875674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875694 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.875705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875725 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875735 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.875745 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875755 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875765 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875775 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.875785 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875794 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875808 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.875818 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875828 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.875838 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875848 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.875858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875868 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan.rf_fail:0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875878 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.875887 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.875897 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875911 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.875921 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.875931 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875941 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.875951 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.875961 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.875972 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.875982 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.875992 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876012 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876022 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.876032 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876041 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876051 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.876061 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876132 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.876144 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876154 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.876164 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876174 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan.rf_fail_tch:0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876184 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.876194 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.876204 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876213 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.876223 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876234 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876248 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.876259 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876269 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876280 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876290 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.876300 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876310 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876320 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876330 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.876339 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876349 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876359 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.876369 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876379 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.876389 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876403 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.876413 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876423 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan.rf_fail_sdcch:0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876433 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.876443 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.876452 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876462 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.876472 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876483 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876492 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.876503 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876513 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876523 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876537 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.876548 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876558 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876567 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876577 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.876587 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876597 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876607 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.876617 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876627 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.876637 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876646 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.876656 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876666 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan.rll_err:0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876680 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.876690 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.876700 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876710 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.876720 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876730 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876740 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.876750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876760 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876771 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876781 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.876791 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.876801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876811 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876821 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.876831 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.876841 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876855 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.876865 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876875 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.876885 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876894 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.876904 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876914 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.oml_fail:0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876924 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.876934 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.876944 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876954 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.876964 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.876974 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.876988 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.876999 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877009 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877019 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877029 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.877040 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877050 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877059 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877069 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.877079 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877089 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877099 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.877109 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877119 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.877129 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877145 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.877155 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877165 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rsl_fail:0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877175 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.877185 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.877195 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877205 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.877215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877225 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877235 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.877245 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877255 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877266 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877276 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.877286 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877296 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877306 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877320 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.877330 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877340 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877350 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.877360 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877370 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.877380 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877389 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.877399 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877409 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.codec.amr_f:0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877419 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.877429 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.877439 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877449 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.877459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877473 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877483 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.877494 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877503 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877514 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877524 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.877535 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877555 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877565 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.877574 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877584 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877594 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.877604 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877614 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.877624 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877637 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.877648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877657 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.codec.amr_h:0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877667 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.877677 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.877687 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877697 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.877712 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877722 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877732 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.877742 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877763 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877773 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.877784 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.877793 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877803 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877817 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.877827 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.877837 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877847 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.877857 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877867 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.877877 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877887 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.877897 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877907 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.codec.efr:0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877917 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.877927 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:58.877936 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877946 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.877956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.877966 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.877980 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.877991 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878000 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878011 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878021 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.878032 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878041 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878051 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878061 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.878071 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878081 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878091 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.878101 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878111 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.878121 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878130 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.878140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878150 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.codec.fr:0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878164 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.878174 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.878184 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878194 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.878204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878214 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878224 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.878234 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878244 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878255 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878265 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.878275 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878285 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878295 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878305 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.878315 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878324 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878334 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.878344 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878358 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.878368 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878378 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.878388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878398 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.codec.hr:0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878408 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.878417 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.878427 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878437 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.878447 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878457 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878467 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.878478 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878498 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878508 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.878519 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878529 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878538 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878552 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.878562 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878572 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878582 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.878592 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878602 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.878612 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878621 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.878631 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878641 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.attempted:0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878651 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.878661 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.878671 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878681 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.878691 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878701 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878711 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.878721 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878731 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878746 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878755 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.878766 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878775 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878785 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878795 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.878805 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878814 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878824 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.878834 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.878853 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.878873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.already:0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878893 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.878903 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.878912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878922 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.878936 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.878946 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878956 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.878966 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.878976 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.878987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.878996 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.879006 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879016 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879026 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879036 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.879046 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879055 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879065 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.879075 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879085 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.879095 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879104 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.879114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879124 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.responded:0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879138 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.879148 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.879158 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879167 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.879177 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879187 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879197 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.879207 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879217 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879227 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879237 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.879247 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879257 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879266 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.879286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879296 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879305 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.879315 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879324 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.879334 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879344 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.879354 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879363 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.expired:0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.879387 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.879396 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879406 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.879416 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879426 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879435 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.879446 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879455 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879466 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879475 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.879486 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879495 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879505 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879515 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.879525 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879534 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879544 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.879554 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879563 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.879573 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879583 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.879593 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879607 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.no_active_paging:0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879617 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.879627 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.879637 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879646 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.879656 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879666 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879676 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.879687 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879707 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879717 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.879727 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879737 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879746 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879756 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.879766 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879776 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879785 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.879795 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879805 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.879814 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879824 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.879834 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879844 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.msc_flush:0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879857 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.879867 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.879877 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879887 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.879897 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879907 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879917 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.879927 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879937 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.879948 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879957 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.879969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.879980 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.879990 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.879999 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.880009 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880019 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880029 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.880039 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880048 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.880058 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880068 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.880078 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880088 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.overload:0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880097 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.880107 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.880117 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880132 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.880142 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880153 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880162 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.880172 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880182 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880193 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880202 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.880212 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880222 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880232 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880241 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.880251 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880260 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880270 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.880280 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.880299 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880309 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.880319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880328 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_act.total:0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880338 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.880348 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.880357 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880367 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.880376 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880387 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880396 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.880406 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880416 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880426 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880440 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.880450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880470 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880479 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.880489 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880499 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880508 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.880518 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880528 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.880537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880547 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.880557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880566 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_act.sdcch:0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880576 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.880586 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.880595 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880605 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.880615 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880625 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880634 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.880644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880654 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880665 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880674 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.880684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880704 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880713 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.880723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880733 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880742 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.880752 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880765 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.880775 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880785 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.880795 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880804 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_act.tch:0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880814 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.880824 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.880833 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880843 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.880853 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880863 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880872 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.880882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880892 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880903 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880912 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.880922 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.880932 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.880942 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880951 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.880961 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.880971 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.880980 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.880990 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881000 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.881010 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881019 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.881029 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881039 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_act.nack:0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881048 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.881058 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.881068 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881077 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.881087 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881097 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881107 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.881121 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881131 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.881141 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881151 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.881161 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881171 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881180 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881190 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.881200 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.881209 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881219 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.881228 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881238 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.881248 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881257 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.881267 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881277 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch.active_milliseconds.total:0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881286 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.881296 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.881306 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881315 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.881325 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881335 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881345 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.881355 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881365 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.881375 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881385 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.881395 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881404 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881414 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881424 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.881433 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.881443 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881453 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.881462 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881472 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.881482 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881491 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.881501 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881511 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch.active_milliseconds.total:0|c 05:48:58.881520 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.881530 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.881540 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.881549 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.881559 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881569 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.881578 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.881592 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881602 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.881613 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.881622 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.881632 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.881642 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.881652 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.881661 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.881671 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.881681 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.881690 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.881700 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.881724 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.881736 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.881745 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.881755 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.881765 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.881774 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.881784 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.881795 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.881804 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.881814 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.881824 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } 05:48:58.881901 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } } id 12 05:48:58.881925 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.881966 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } } id 12 05:48:58.881988 27 StatsD_Checker.ttcn:199 Message with id 12 was extracted from the queue of STATS. 05:48:58.882114 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E6368616E5F7463682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E322E6368616E5F73646363682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E322E72736C2E756E6B6E6F776E3A307C630A5454434E332E6274732E322E72736C2E6970615F6E61636B3A307C630A5454434E332E6274732E322E72736C2E64656C6574655F696E643A307C630A5454434E332E6274732E322E6368616E2E6D6F64655F6D6F646966795F6E61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F61637469765F61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72665F72656C656173655F61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F6E61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E72665F6368616E5F72656C5F61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E7674793A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E6576656E742E74735F6572726F723A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72725F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E322E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72736C5F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E6E6F745F696E697469616C697A65643A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E756E757365643A307C63'O ("TTCN3.bts.2.chan_tch.fully_established:0|c\nTTCN3.bts.2.chan_sdcch.fully_established:0|c\nTTCN3.bts.2.rsl.unknown:0|c\nTTCN3.bts.2.rsl.ipa_nack:0|c\nTTCN3.bts.2.rsl.delete_ind:0|c\nTTCN3.bts.2.chan.mode_modify_nack:0|c\nTTCN3.bts.2.lchan_borken.from_state.unused:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.borken:0|c\nTTCN3.bts.2.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.2.lchan_borken.event.vty:0|c\nTTCN3.bts.2.lchan_borken.event.teardown:0|c\nTTCN3.bts.2.lchan_borken.event.ts_error:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.2.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.2.ts_borken.from_state.unused:0|c") } id 13 05:48:58.882139 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.chan_tch.fully_established:0|c\nTTCN3.bts.2.chan_sdcch.fully_established:0|c\nTTCN3.bts.2.rsl.unknown:0|c\nTTCN3.bts.2.rsl.ipa_nack:0|c\nTTCN3.bts.2.rsl.delete_ind:0|c\nTTCN3.bts.2.chan.mode_modify_nack:0|c\nTTCN3.bts.2.lchan_borken.from_state.unused:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.borken:0|c\nTTCN3.bts.2.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.2.lchan_borken.event.vty:0|c\nTTCN3.bts.2.lchan_borken.event.teardown:0|c\nTTCN3.bts.2.lchan_borken.event.ts_error:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.2.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.2.ts_borken.from_state.unused:0|c" 05:48:58.882173 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch.fully_established:0|c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882183 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.882193 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.882203 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882213 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.882223 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882234 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882247 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.882258 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882268 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882279 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882289 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.882299 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882309 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882319 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882329 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.882338 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882348 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882362 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.882372 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882382 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.882392 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882402 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.882412 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882422 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch.fully_established:0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882432 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.882441 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.882451 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882465 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.882475 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882485 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882495 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.882505 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882515 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882525 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882535 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.882545 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882555 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882565 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882575 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.882584 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882594 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882608 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.882618 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882628 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.882637 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882647 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.882657 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882667 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rsl.unknown:0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882677 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.882687 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.882700 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882710 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.882720 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882730 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882740 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.882750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882760 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882770 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882780 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.882790 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.882800 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882810 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882823 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.882833 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.882843 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882853 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.882863 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882872 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.882882 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882892 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.882902 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882912 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rsl.ipa_nack:0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882925 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.882935 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.882945 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882955 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.882964 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.882974 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.882984 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.882995 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883004 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883015 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883024 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.883035 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883054 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883070 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.883080 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883090 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883099 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.883109 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883119 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.883129 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883139 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.883149 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883159 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rsl.delete_ind:0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883172 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.883182 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.883192 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883201 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.883211 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883221 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883231 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.883242 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883251 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883262 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883272 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.883282 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883291 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883301 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883315 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.883325 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883334 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883344 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.883354 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883364 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.883374 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883383 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.883393 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883403 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan.mode_modify_nack:0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883417 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.883427 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.883437 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883446 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.883456 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883466 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883476 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.883486 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883496 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883507 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883516 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.883527 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883536 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883546 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883560 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.883570 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883580 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883590 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.883600 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883610 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.883620 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883629 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.883639 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883649 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.unused:0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883659 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.883669 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.883678 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883692 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.883702 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883712 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883722 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.883732 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883742 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883752 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883762 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.883772 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883782 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883792 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883802 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.883811 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883821 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883835 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.883845 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883855 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.883865 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883875 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.883885 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883894 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883904 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.883914 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.883924 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883933 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.883943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.883953 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.883968 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.883978 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.883988 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.883998 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884008 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.884019 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884028 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884038 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884048 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.884058 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884067 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884077 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.884087 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884101 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.884111 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884121 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.884131 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884140 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884150 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.884160 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:58.884170 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884180 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.884189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884200 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.884220 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884240 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884254 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.884264 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884274 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884283 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884293 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.884303 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884313 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884323 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.884332 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.884352 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884362 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.884372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884381 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.borken:0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884391 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.884401 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.884414 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884424 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.884434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884444 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884454 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.884464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884484 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884494 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.884505 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884514 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884524 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884534 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.884544 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884553 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884563 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.884573 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884587 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.884596 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.884616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884626 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.unknown:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884636 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.884646 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.884655 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884665 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.884675 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884695 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.884705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884715 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884725 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884735 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.884749 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884759 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884768 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884778 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.884788 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884798 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884807 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.884817 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884827 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.884837 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884847 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.884857 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884867 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884877 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.884887 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.884896 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884906 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.884920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.884930 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884940 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.884951 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.884960 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.884971 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.884981 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.884991 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885001 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885011 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885021 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.885031 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885040 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885050 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.885060 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885070 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.885080 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885089 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.885099 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885109 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885123 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.885133 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.885143 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885153 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.885163 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885173 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885183 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.885193 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885214 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885224 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.885234 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885244 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885254 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885263 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.885273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885292 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.885302 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885318 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.885328 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885338 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.885348 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885357 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885367 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.885377 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.885387 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885397 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.885406 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885417 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885426 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.885437 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885447 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885457 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885467 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.885477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885487 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885497 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885506 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.885516 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885526 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885540 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.885550 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885559 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.885569 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885579 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.885589 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885599 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.vty:0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885609 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.885618 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.885628 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885638 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.885648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885658 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885667 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.885678 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885688 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885698 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885713 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.885723 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885733 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885743 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885752 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.885762 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885772 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885786 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.885796 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885806 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.885816 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885825 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.885835 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885845 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.teardown:0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.885865 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.885875 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885884 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.885894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885905 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885914 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.885927 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885937 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.885948 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885958 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.885968 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.885978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.885988 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.885997 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.886008 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886017 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886031 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.886041 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886051 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.886061 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886071 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.886081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886090 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.event.ts_error:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886100 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.886110 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.886120 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886130 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.886140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886150 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886160 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.886170 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886190 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886200 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.886210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886230 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886239 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.886249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886259 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.886278 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886288 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.886298 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886311 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.886321 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886331 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886341 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.886351 27 StatsD_CodecPort.ttcn:36 match_first result: 64 05:48:58.886360 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886370 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.886380 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886390 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886399 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.886410 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886420 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886430 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886440 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.886450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886469 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886479 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.886489 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886498 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886508 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.886518 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886527 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.886537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886547 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.886557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886566 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886576 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.886586 27 StatsD_CodecPort.ttcn:36 match_first result: 65 05:48:58.886595 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886605 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.886615 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886625 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886638 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.886649 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886659 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886669 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886679 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.886689 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886699 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886708 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886718 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.886728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886737 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.886757 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886766 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.886776 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886786 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.886796 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886805 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.not_initialized:0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886815 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.886825 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.886834 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886848 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.886858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886868 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886878 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.886888 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886897 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886908 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886917 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.886928 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.886937 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.886947 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886957 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.886966 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.886976 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.886986 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.886995 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.887005 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.887015 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.887024 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.887034 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887044 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.unused:0|c 05:48:58.887053 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.887063 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.887073 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.887082 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.887092 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887102 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.887111 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.887126 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.887136 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.887146 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.887156 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.887166 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.887175 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887185 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.887195 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.887204 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.887214 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.887224 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.887233 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.887243 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.887252 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.887262 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.887271 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.887281 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.887291 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.887301 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.887310 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.887320 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.887330 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.887339 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit } } 05:48:58.887414 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit } } } id 13 05:48:58.887437 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.887476 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit } } } id 13 05:48:58.887496 27 StatsD_Checker.ttcn:199 Message with id 13 was extracted from the queue of STATS. 05:48:58.887612 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F6163743A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E706463683A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F64656163743A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E696E5F7573653A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E322E74735F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E322E74735F626F726B656E2E6576656E742E706463685F6163745F61636B5F6E61636B3A307C630A5454434E332E6274732E322E74735F626F726B656E2E6576656E742E706463685F64656163745F61636B5F6E61636B3A307C630A5454434E332E6274732E322E74735F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E617474656D707465643A307C630A5454434E332E6274732E322E61737369676E6D656E742E617474656D707465645F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E617474656D707465645F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E636F6D706C657465643A307C630A5454434E332E6274732E322E61737369676E6D656E742E636F6D706C657465645F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E636F6D706C657465645F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E73746F707065643A307C630A5454434E332E6274732E322E61737369676E6D656E742E73746F707065645F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E73746F707065645F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E61737369676E6D656E742E6E6F5F6368616E6E656C5F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E6E6F5F6368616E6E656C5F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E74696D656F75743A307C630A5454434E332E6274732E322E61737369676E6D656E742E74696D656F75745F7369676E3A307C63'O ("TTCN3.bts.2.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.2.ts_borken.from_state.pdch:0|c\nTTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.2.ts_borken.from_state.in_use:0|c\nTTCN3.bts.2.ts_borken.from_state.borken:0|c\nTTCN3.bts.2.ts_borken.from_state.unknown:0|c\nTTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.2.ts_borken.event.teardown:0|c\nTTCN3.bts.2.assignment.attempted:0|c\nTTCN3.bts.2.assignment.attempted_sign:0|c\nTTCN3.bts.2.assignment.attempted_speech:0|c\nTTCN3.bts.2.assignment.completed:0|c\nTTCN3.bts.2.assignment.completed_sign:0|c\nTTCN3.bts.2.assignment.completed_speech:0|c\nTTCN3.bts.2.assignment.stopped:0|c\nTTCN3.bts.2.assignment.stopped_sign:0|c\nTTCN3.bts.2.assignment.stopped_speech:0|c\nTTCN3.bts.2.assignment.no_channel:0|c\nTTCN3.bts.2.assignment.no_channel_sign:0|c\nTTCN3.bts.2.assignment.no_channel_speech:0|c\nTTCN3.bts.2.assignment.timeout:0|c\nTTCN3.bts.2.assignment.timeout_sign:0|c") } id 14 05:48:58.887632 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.2.ts_borken.from_state.pdch:0|c\nTTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.2.ts_borken.from_state.in_use:0|c\nTTCN3.bts.2.ts_borken.from_state.borken:0|c\nTTCN3.bts.2.ts_borken.from_state.unknown:0|c\nTTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.2.ts_borken.event.teardown:0|c\nTTCN3.bts.2.assignment.attempted:0|c\nTTCN3.bts.2.assignment.attempted_sign:0|c\nTTCN3.bts.2.assignment.attempted_speech:0|c\nTTCN3.bts.2.assignment.completed:0|c\nTTCN3.bts.2.assignment.completed_sign:0|c\nTTCN3.bts.2.assignment.completed_speech:0|c\nTTCN3.bts.2.assignment.stopped:0|c\nTTCN3.bts.2.assignment.stopped_sign:0|c\nTTCN3.bts.2.assignment.stopped_speech:0|c\nTTCN3.bts.2.assignment.no_channel:0|c\nTTCN3.bts.2.assignment.no_channel_sign:0|c\nTTCN3.bts.2.assignment.no_channel_speech:0|c\nTTCN3.bts.2.assignment.timeout:0|c\nTTCN3.bts.2.assignment.timeout_sign:0|c" 05:48:58.887670 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887680 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.887690 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.887700 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887710 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.887720 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887730 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887740 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.887751 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.887760 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.887771 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887785 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.887796 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.887805 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887815 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887825 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.887835 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.887845 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887855 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.887865 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887878 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.887888 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887898 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.887908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887918 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.pdch:0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887928 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.887937 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.887947 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887957 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.887967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.887977 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.887990 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.888001 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888010 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888021 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888031 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.888041 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888061 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888070 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.888080 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888090 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888100 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.888110 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888127 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.888137 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888146 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.888156 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888166 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888176 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.888186 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.888195 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888205 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.888215 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888225 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888239 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.888249 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888259 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888269 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888279 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.888290 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888299 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888309 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888319 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.888329 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888338 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888348 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.888358 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888372 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.888382 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888392 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.888402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888412 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.in_use:0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888421 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.888431 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.888441 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888450 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.888460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888470 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888484 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.888494 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888504 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888515 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888525 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.888535 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888554 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888564 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.888574 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888584 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888594 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.888603 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888617 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.888627 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888637 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.888647 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888657 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.borken:0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888666 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.888676 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.888686 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888695 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.888705 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888715 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888729 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.888739 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888749 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888759 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888769 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.888779 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888789 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888799 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888809 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.888818 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.888828 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888838 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.888848 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.888871 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888881 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.888891 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888901 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.from_state.unknown:0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888911 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.888920 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.888930 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888940 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.888950 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.888960 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.888969 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.888980 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.888989 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889000 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889013 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.889024 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889034 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889043 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889053 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.889063 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889073 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889082 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.889092 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889102 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.889112 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889126 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.889136 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889146 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889156 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.889166 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.889175 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889185 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.889195 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889205 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889215 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.889225 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889246 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889256 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.889266 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889276 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889286 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889300 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.889310 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889319 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889329 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.889339 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889349 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.889359 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889369 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.889379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889389 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889399 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.889408 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.889418 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889432 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.889442 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889452 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889462 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.889472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889482 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889493 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889503 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.889513 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889523 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889533 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889542 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.889552 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889562 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889572 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.889582 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889596 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.889606 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889616 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.889626 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889636 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken.event.teardown:0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889646 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.889656 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.889665 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889675 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.889685 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889695 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889709 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.889720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889741 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889755 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.889766 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889776 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889785 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889795 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.889805 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889815 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889825 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.889835 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889845 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.889855 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889865 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.889875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889885 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.attempted:0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889895 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.889905 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.889915 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889929 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.889939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.889949 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.889959 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.889969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.889979 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.889990 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890000 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.890011 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890020 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890030 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890040 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.890050 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890060 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.890080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890094 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.890104 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890114 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.890124 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890134 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.attempted_sign:0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890144 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.890154 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.890164 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890174 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.890184 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890194 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890204 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.890215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890225 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890236 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890246 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.890256 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890276 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890292 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.890302 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890312 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890321 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.890331 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890341 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.890351 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890361 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.890371 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890381 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.attempted_speech:0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890391 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.890401 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.890410 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890420 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.890430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890440 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890450 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.890461 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890485 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890495 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.890505 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890515 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890525 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890534 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.890544 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890554 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890564 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.890573 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890583 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.890593 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890603 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.890613 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890623 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.completed:0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890632 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.890642 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.890652 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890666 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.890676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890686 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890696 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.890706 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890716 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890726 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890736 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.890746 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890756 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890766 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890776 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.890786 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890795 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890805 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.890815 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890825 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.890835 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890844 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.890854 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890864 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.completed_sign:0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890877 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.890888 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.890897 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890907 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.890917 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.890927 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890937 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.890947 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890957 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.890967 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.890977 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.890987 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.890997 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891007 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891017 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.891026 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891036 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891046 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.891056 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891065 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.891075 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891089 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.891099 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891109 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.completed_speech:0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891118 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.891128 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.891138 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891147 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.891157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891167 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891177 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.891188 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891197 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891208 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891218 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.891228 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891238 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891248 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891257 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.891267 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891277 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891287 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.891296 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891306 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.891316 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891330 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.891340 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891349 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.stopped:0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891359 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.891369 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.891379 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891388 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.891398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891409 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891418 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.891431 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891442 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891452 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891462 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.891473 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891482 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891492 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.891512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891522 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891531 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.891541 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891551 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.891561 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891571 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.891581 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891594 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.stopped_sign:0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.891614 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.891624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.891643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891653 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891663 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.891673 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891683 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891693 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891703 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.891713 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891723 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891733 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891742 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.891752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891762 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891771 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.891781 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891791 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.891800 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891810 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.891820 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891830 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.stopped_speech:0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891839 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.891849 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.891859 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891872 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.891882 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891892 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891902 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.891912 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891922 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.891933 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891942 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.891952 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.891962 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.891972 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.891981 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.891991 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892001 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892010 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.892020 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892030 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.892040 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892049 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.892059 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892069 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.no_channel:0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892078 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.892088 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.892098 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892107 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.892117 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892127 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892137 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.892147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892157 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892167 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892177 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.892191 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892210 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892220 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.892230 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892240 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892249 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.892259 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892269 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.892278 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892288 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.892298 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892308 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.no_channel_sign:0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892317 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.892327 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.892337 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892346 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.892356 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892366 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892376 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.892386 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892396 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892406 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892416 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.892426 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892436 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892446 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892455 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.892465 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892475 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892484 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.892494 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892504 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.892514 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892523 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.892533 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892543 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.no_channel_speech:0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892557 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.892566 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.892576 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892586 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.892595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892605 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892615 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.892625 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892635 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892645 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892655 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.892665 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892675 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892685 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892694 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.892704 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892713 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892723 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.892733 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892742 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.892752 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892761 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.892771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892781 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.timeout:0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892791 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.892800 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.892810 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892820 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.892830 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892840 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892849 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.892859 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892869 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892880 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892889 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.892899 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.892909 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.892919 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892928 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.892938 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.892948 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892957 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.892967 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892977 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.892986 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.892996 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.893006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893015 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.timeout_sign:0|c 05:48:58.893029 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.893039 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.893049 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.893058 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.893068 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893078 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.893087 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.893097 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.893107 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.893118 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.893127 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.893137 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.893147 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893157 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.893166 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.893176 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.893186 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.893195 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.893205 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.893214 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.893224 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.893234 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.893243 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.893253 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.893263 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.893272 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.893282 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.893292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.893302 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.893311 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout_sign", val := 0, mtype := "c", srate := omit } } 05:48:58.893393 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout_sign", val := 0, mtype := "c", srate := omit } } } id 14 05:48:58.893413 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.893453 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.timeout_sign", val := 0, mtype := "c", srate := omit } } } id 14 05:48:58.893473 27 StatsD_Checker.ttcn:199 Message with id 14 was extracted from the queue of STATS. 05:48:58.893590 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E61737369676E6D656E742E74696D656F75745F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E6661696C65643A307C630A5454434E332E6274732E322E61737369676E6D656E742E6661696C65645F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E6661696C65645F7370656563683A307C630A5454434E332E6274732E322E61737369676E6D656E742E6572726F723A307C630A5454434E332E6274732E322E61737369676E6D656E742E6572726F725F7369676E3A307C630A5454434E332E6274732E322E61737369676E6D656E742E6572726F725F7370656563683A307C630A5454434E332E6274732E322E6C6F636174696F6E5F7570646174652E6163636570743A307C630A5454434E332E6274732E322E6C6F636174696F6E5F7570646174652E72656A6563743A307C630A5454434E332E6274732E322E6C6F636174696F6E5F7570646174652E6465746163683A307C630A5454434E332E6274732E322E6C6F636174696F6E5F7570646174652E756E6B6E6F776E3A307C630A5454434E332E6274732E322E68616E646F7665722E617474656D707465643A307C630A5454434E332E6274732E322E68616E646F7665722E636F6D706C657465643A307C630A5454434E332E6274732E322E68616E646F7665722E73746F707065643A307C630A5454434E332E6274732E322E68616E646F7665722E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E68616E646F7665722E74696D656F75743A307C630A5454434E332E6274732E322E68616E646F7665722E6661696C65643A307C630A5454434E332E6274732E322E68616E646F7665722E6572726F723A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E617474656D707465643A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E636F6D706C657465643A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E73746F707065643A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E74696D656F75743A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E6661696C65643A307C630A5454434E332E6274732E322E696E7472615F63656C6C5F686F2E6572726F723A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E617474656D707465643A307C63'O ("TTCN3.bts.2.assignment.timeout_speech:0|c\nTTCN3.bts.2.assignment.failed:0|c\nTTCN3.bts.2.assignment.failed_sign:0|c\nTTCN3.bts.2.assignment.failed_speech:0|c\nTTCN3.bts.2.assignment.error:0|c\nTTCN3.bts.2.assignment.error_sign:0|c\nTTCN3.bts.2.assignment.error_speech:0|c\nTTCN3.bts.2.location_update.accept:0|c\nTTCN3.bts.2.location_update.reject:0|c\nTTCN3.bts.2.location_update.detach:0|c\nTTCN3.bts.2.location_update.unknown:0|c\nTTCN3.bts.2.handover.attempted:0|c\nTTCN3.bts.2.handover.completed:0|c\nTTCN3.bts.2.handover.stopped:0|c\nTTCN3.bts.2.handover.no_channel:0|c\nTTCN3.bts.2.handover.timeout:0|c\nTTCN3.bts.2.handover.failed:0|c\nTTCN3.bts.2.handover.error:0|c\nTTCN3.bts.2.intra_cell_ho.attempted:0|c\nTTCN3.bts.2.intra_cell_ho.completed:0|c\nTTCN3.bts.2.intra_cell_ho.stopped:0|c\nTTCN3.bts.2.intra_cell_ho.no_channel:0|c\nTTCN3.bts.2.intra_cell_ho.timeout:0|c\nTTCN3.bts.2.intra_cell_ho.failed:0|c\nTTCN3.bts.2.intra_cell_ho.error:0|c\nTTCN3.bts.2.intra_bsc_ho.attempted:0|c") } id 15 05:48:58.893610 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.assignment.timeout_speech:0|c\nTTCN3.bts.2.assignment.failed:0|c\nTTCN3.bts.2.assignment.failed_sign:0|c\nTTCN3.bts.2.assignment.failed_speech:0|c\nTTCN3.bts.2.assignment.error:0|c\nTTCN3.bts.2.assignment.error_sign:0|c\nTTCN3.bts.2.assignment.error_speech:0|c\nTTCN3.bts.2.location_update.accept:0|c\nTTCN3.bts.2.location_update.reject:0|c\nTTCN3.bts.2.location_update.detach:0|c\nTTCN3.bts.2.location_update.unknown:0|c\nTTCN3.bts.2.handover.attempted:0|c\nTTCN3.bts.2.handover.completed:0|c\nTTCN3.bts.2.handover.stopped:0|c\nTTCN3.bts.2.handover.no_channel:0|c\nTTCN3.bts.2.handover.timeout:0|c\nTTCN3.bts.2.handover.failed:0|c\nTTCN3.bts.2.handover.error:0|c\nTTCN3.bts.2.intra_cell_ho.attempted:0|c\nTTCN3.bts.2.intra_cell_ho.completed:0|c\nTTCN3.bts.2.intra_cell_ho.stopped:0|c\nTTCN3.bts.2.intra_cell_ho.no_channel:0|c\nTTCN3.bts.2.intra_cell_ho.timeout:0|c\nTTCN3.bts.2.intra_cell_ho.failed:0|c\nTTCN3.bts.2.intra_cell_ho.error:0|c\nTTCN3.bts.2.intra_bsc_ho.attempted:0|c" 05:48:58.893648 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.timeout_speech:0|c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893659 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.893669 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.893679 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893688 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.893698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893720 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893736 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.893747 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.893757 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.893768 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893778 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.893788 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.893798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893808 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893818 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.893828 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.893837 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893847 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.893857 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893871 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.893881 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893891 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.893901 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893911 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.failed:0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893921 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.893931 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.893940 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893950 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.893960 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.893970 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.893984 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.893994 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894004 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894014 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894024 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.894035 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894054 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894064 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.894074 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894084 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894097 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.894107 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894117 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.894127 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894137 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.894146 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894156 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.failed_sign:0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894166 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.894176 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.894186 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894199 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.894209 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894219 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894229 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.894239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894259 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894269 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.894280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894289 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894299 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894309 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.894319 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894328 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894342 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.894352 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894362 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.894371 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894381 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.894391 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894401 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.failed_speech:0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894411 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.894421 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.894430 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894444 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.894454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894464 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894474 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.894484 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894494 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894504 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894514 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.894524 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894534 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894544 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894554 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.894563 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894573 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894587 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.894597 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894607 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.894616 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894626 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.894636 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894646 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.error:0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894656 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.894666 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.894675 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894689 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.894699 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894709 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894718 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.894729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894738 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894749 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894759 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.894769 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894779 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894788 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894798 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.894808 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894818 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894831 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.894841 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894851 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.894861 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894870 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.894880 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894890 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.error_sign:0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894900 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.894910 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.894919 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894929 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.894939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.894949 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.894962 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.894973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.894983 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.894993 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895003 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.895013 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895023 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895033 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895043 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.895053 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895062 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895072 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.895082 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895095 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.895105 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895115 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.895125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895135 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.assignment.error_speech:0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895145 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.895155 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.895164 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895174 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.895184 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895194 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895203 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.895218 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895227 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895238 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895248 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.895258 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895268 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895278 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895287 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.895297 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895307 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895317 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.895326 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895336 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.895346 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895360 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.895370 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895380 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.location_update.accept:0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895389 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.895399 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.895409 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895418 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.895428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895448 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.895458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895468 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895479 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895488 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.895499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895508 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895518 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895532 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.895542 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895551 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895561 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.895571 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895581 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.895590 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895600 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.895610 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895620 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.location_update.reject:0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895630 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.895639 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.895649 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895665 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.895675 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895695 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.895705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895715 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895725 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895735 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.895745 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895755 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895765 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895774 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.895784 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895794 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895804 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.895816 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895826 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.895836 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895846 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.895856 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895866 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.location_update.detach:0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895875 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.895885 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.895895 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895905 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.895914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.895924 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895934 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.895945 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.895965 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.895978 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.895989 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.895999 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896008 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896018 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.896028 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896038 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896047 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.896057 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896067 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.896077 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896087 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.896097 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896107 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.location_update.unknown:0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896120 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.896131 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.896140 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896150 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.896160 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896170 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896180 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.896190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896200 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896211 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896221 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.896231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896251 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896261 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.896271 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896280 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896294 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.896304 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896314 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.896324 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896334 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.896344 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896354 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.attempted:0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896364 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.896374 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.896384 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896393 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.896403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896414 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896423 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.896434 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896444 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896454 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896468 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.896479 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896489 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896498 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896508 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.896518 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896528 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896538 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.896548 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896558 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.896568 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896578 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.896588 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896598 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.completed:0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896608 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.896618 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.896628 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896641 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.896651 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896662 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896672 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.896682 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896692 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896703 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896713 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.896723 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896733 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896743 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896753 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.896763 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896772 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896782 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.896792 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896802 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.896812 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896826 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.896836 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896846 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.stopped:0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896856 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.896866 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.896876 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896886 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.896896 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896906 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896916 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.896926 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896936 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.896947 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896957 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.896967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.896977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.896987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.896996 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.897006 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897016 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897030 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.897040 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897049 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.897059 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897069 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.897079 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897089 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.no_channel:0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897098 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.897108 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.897118 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897127 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.897137 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897147 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897157 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.897167 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897177 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897187 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897197 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.897207 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897217 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897227 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897240 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.897250 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897260 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.897279 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.897298 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897308 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.897318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897328 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.timeout:0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897337 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.897347 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.897357 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897366 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.897376 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897386 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897396 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.897406 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897416 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897426 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897440 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.897450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897470 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897479 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.897489 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897499 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897509 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.897518 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897528 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.897538 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897547 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.897557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897567 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.failed:0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897577 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.897587 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.897596 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897606 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.897616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897626 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897635 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.897646 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897659 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897670 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897679 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.897690 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897699 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897713 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897723 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.897733 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897743 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897752 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.897762 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897772 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.897782 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897791 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.897801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897811 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.handover.error:0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.897831 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.897841 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.897860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897870 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897880 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.897894 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897904 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897915 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897925 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.897935 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.897945 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.897954 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897964 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.897974 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.897984 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.897993 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.898003 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898013 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.898023 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898033 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.898043 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898053 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.attempted:0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898062 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.898072 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.898082 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898091 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.898101 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898111 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898121 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.898131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898141 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898156 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898165 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.898176 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898186 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898195 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898205 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.898215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898225 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898235 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.898244 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898254 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.898264 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898274 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.898284 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898294 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.completed:0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898303 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.898313 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.898323 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898335 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.898345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898356 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898365 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.898376 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898385 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898396 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898406 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.898416 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898426 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898440 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898450 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.898460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898469 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898479 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.898489 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898498 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.898508 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898518 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.898528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898537 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.stopped:0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898547 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.898557 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.898566 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898576 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.898586 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898596 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898605 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.898615 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898625 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898635 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898645 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.898655 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898675 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898684 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.898694 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898704 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898714 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.898723 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898739 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.898749 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898758 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.898768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898778 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.no_channel:0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898788 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.898797 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.898807 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898817 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.898826 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898837 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898846 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.898856 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898866 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898877 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898886 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.898896 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.898906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.898916 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898925 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.898935 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.898945 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898954 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.898964 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898974 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.898983 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.898993 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.899003 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899013 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.timeout:0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899022 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.899032 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.899042 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899051 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.899061 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899071 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899085 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.899095 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899105 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899115 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899125 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.899135 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899145 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899154 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899164 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.899174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899183 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899193 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.899202 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899212 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.899222 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899231 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.899241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899251 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.failed:0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899261 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.899270 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.899280 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899290 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.899300 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899310 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899319 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.899330 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899339 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899350 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899359 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.899370 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899389 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899398 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.899408 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899418 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899427 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.899437 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899447 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.899456 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899466 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.899476 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899486 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_cell_ho.error:0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899495 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.899507 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.899517 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899527 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.899537 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899547 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899556 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.899567 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899576 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899587 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899596 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.899607 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899626 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899635 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.899645 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899655 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899664 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.899674 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899684 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.899693 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899703 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.899713 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899723 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.attempted:0|c 05:48:58.899732 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.899742 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.899752 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.899761 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.899771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899781 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.899790 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.899800 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899810 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.899821 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.899830 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.899840 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.899850 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.899860 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.899869 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.899879 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.899889 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.899898 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.899908 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.899917 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.899927 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.899937 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.899947 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.899956 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.899966 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.899976 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.899986 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.899995 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.900005 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.900015 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } 05:48:58.900099 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 15 05:48:58.900123 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.900163 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 15 05:48:58.900180 27 StatsD_Checker.ttcn:199 Message with id 15 was extracted from the queue of STATS. 05:48:58.900303 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E322E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E322E696E636F6D696E675F696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E74696D656F75743A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E617474656D707465643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E6661696C65643A307C63'O ("TTCN3.bts.2.intra_bsc_ho.completed:0|c\nTTCN3.bts.2.intra_bsc_ho.stopped:0|c\nTTCN3.bts.2.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.2.intra_bsc_ho.timeout:0|c\nTTCN3.bts.2.intra_bsc_ho.failed:0|c\nTTCN3.bts.2.intra_bsc_ho.error:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.2.interbsc_ho_out.attempted:0|c\nTTCN3.bts.2.interbsc_ho_out.completed:0|c\nTTCN3.bts.2.interbsc_ho_out.stopped:0|c\nTTCN3.bts.2.interbsc_ho_out.timeout:0|c\nTTCN3.bts.2.interbsc_ho_out.failed:0|c\nTTCN3.bts.2.interbsc_ho_out.error:0|c\nTTCN3.bts.2.interbsc_ho_in.attempted:0|c\nTTCN3.bts.2.interbsc_ho_in.completed:0|c\nTTCN3.bts.2.interbsc_ho_in.stopped:0|c\nTTCN3.bts.2.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.2.interbsc_ho_in.failed:0|c") } id 16 05:48:58.900328 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.intra_bsc_ho.completed:0|c\nTTCN3.bts.2.intra_bsc_ho.stopped:0|c\nTTCN3.bts.2.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.2.intra_bsc_ho.timeout:0|c\nTTCN3.bts.2.intra_bsc_ho.failed:0|c\nTTCN3.bts.2.intra_bsc_ho.error:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.2.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.2.interbsc_ho_out.attempted:0|c\nTTCN3.bts.2.interbsc_ho_out.completed:0|c\nTTCN3.bts.2.interbsc_ho_out.stopped:0|c\nTTCN3.bts.2.interbsc_ho_out.timeout:0|c\nTTCN3.bts.2.interbsc_ho_out.failed:0|c\nTTCN3.bts.2.interbsc_ho_out.error:0|c\nTTCN3.bts.2.interbsc_ho_in.attempted:0|c\nTTCN3.bts.2.interbsc_ho_in.completed:0|c\nTTCN3.bts.2.interbsc_ho_in.stopped:0|c\nTTCN3.bts.2.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.2.interbsc_ho_in.failed:0|c" 05:48:58.900363 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.completed:0|c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.900387 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.900397 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900407 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.900417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900427 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900437 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.900448 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900457 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.900468 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900478 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.900489 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900498 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900508 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900522 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.900532 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.900542 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900552 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.900562 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900571 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.900581 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900595 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.900605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900615 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.stopped:0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900625 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.900634 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.900644 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.900664 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900674 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900683 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.900694 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900703 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.900714 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.900738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900748 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900758 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900768 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.900777 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.900787 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900797 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.900807 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900817 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.900827 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900840 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.900851 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900861 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.no_channel:0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900871 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.900880 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.900890 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900900 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.900910 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.900920 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900934 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.900945 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.900965 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.900975 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.900985 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.900995 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901005 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901015 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.901025 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901034 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901044 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.901054 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901068 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.901078 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901088 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.901098 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901108 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.timeout:0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901118 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.901128 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.901138 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901147 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.901157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901168 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901181 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.901192 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901201 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901212 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901222 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.901232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901242 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901252 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901262 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.901272 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901281 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901291 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.901301 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901317 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.901327 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901337 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.901347 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901357 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.failed:0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901367 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.901376 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.901386 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901396 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.901406 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901416 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901430 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.901440 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901450 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901460 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901470 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.901481 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901500 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901510 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.901520 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901530 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901540 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.901550 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901563 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.901573 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901583 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.901593 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901603 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.intra_bsc_ho.error:0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901613 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.901623 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.901633 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901643 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.901653 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901663 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901677 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.901687 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901697 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901719 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901730 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.901741 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901751 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901760 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901771 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.901780 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901790 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901800 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.901810 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901820 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.901830 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901844 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.901854 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901864 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901874 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.901884 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.901894 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901904 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.901914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.901924 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901934 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.901944 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.901965 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.901979 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.901989 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.901999 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902009 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902019 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.902029 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902038 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902048 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.902058 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902068 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.902078 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902088 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.902102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902112 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902122 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.902132 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.902141 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902151 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.902161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902171 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902181 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.902192 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902202 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902212 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902222 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.902233 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902242 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902252 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902266 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.902276 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902286 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902296 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.902306 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902316 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.902326 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902336 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.902346 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902356 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902366 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.902376 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.902385 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902399 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.902409 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902420 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902430 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.902440 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902450 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902460 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902471 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.902481 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902501 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902510 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.902520 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902530 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902540 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.902550 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902564 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.902574 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902583 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.902593 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902603 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902613 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.902623 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.902633 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902643 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.902652 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902663 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902672 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.902683 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902693 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902703 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902717 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.902728 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902737 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902747 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902757 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.902767 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902777 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902786 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.902796 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902806 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.902816 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902826 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.902836 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902846 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902860 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.902870 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.902880 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902890 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.902899 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902910 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902919 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.902930 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902940 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.902950 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.902960 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.902970 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.902980 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.902990 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903000 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.903010 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903019 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903029 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.903039 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903053 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.903063 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903073 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.903083 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903093 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903103 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.903113 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.903123 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903132 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.903142 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903152 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903162 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.903173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903182 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903193 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903203 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.903213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903223 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903233 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903247 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.903258 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903267 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903277 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.903287 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903297 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.903307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.903327 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903337 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.incoming_intra_bsc_ho.error:0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903347 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.903357 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.903366 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903376 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.903386 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903396 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903410 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.903420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903430 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903441 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903451 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.903461 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903471 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903480 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903490 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.903500 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903509 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903519 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.903529 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903539 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.903548 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903558 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.903568 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903578 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.attempted:0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903588 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.903603 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.903613 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903623 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.903633 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903643 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903652 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.903663 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903672 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903683 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903693 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.903703 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903712 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903722 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903732 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.903742 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903751 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903761 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.903771 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903780 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.903790 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903804 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.903814 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903824 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.completed:0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903833 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.903843 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.903853 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903862 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.903872 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903882 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903892 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.903902 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903912 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903922 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903932 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.903942 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.903951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.903961 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.903971 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.903981 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.903990 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904000 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.904010 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904020 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.904029 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904043 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.904053 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904063 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.stopped:0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904072 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.904082 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.904092 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904101 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.904111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904121 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904131 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.904141 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904151 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904161 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904171 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.904181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904191 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904200 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904210 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.904220 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904229 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904239 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.904249 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904259 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.904272 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904282 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.904292 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904302 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.timeout:0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904311 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.904321 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.904331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.904350 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904360 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904370 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.904380 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904390 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904400 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904410 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.904420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904440 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904449 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.904459 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904469 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904478 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.904488 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904498 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.904507 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904521 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.904531 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904541 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.failed:0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904550 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.904560 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.904570 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904579 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.904589 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904599 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904608 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.904619 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904628 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904639 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904648 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.904659 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904668 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904678 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904687 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.904697 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904707 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904717 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.904726 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904736 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.904746 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904755 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.904765 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904775 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_out.error:0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904785 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.904794 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.904807 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904816 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.904826 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904836 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904846 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.904856 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904866 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904876 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904886 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.904896 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.904906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.904916 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904925 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.904935 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.904945 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904954 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.904964 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904974 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.904984 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.904993 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.905003 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905013 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.attempted:0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905022 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.905032 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.905042 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905052 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.905061 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905071 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905081 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.905091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905101 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905111 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905125 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.905135 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905145 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905155 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905164 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.905174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905184 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905193 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.905203 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905213 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.905223 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905232 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.905242 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905252 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.completed:0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905262 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.905272 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.905281 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905291 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.905301 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905311 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905321 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.905331 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905341 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905352 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905361 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.905372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905381 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905391 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905401 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.905411 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905420 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905430 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.905440 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905450 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.905460 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905469 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.905479 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905493 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.stopped:0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905503 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.905513 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.905522 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905532 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.905542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905552 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905561 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.905572 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905582 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905592 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905602 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.905612 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905622 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905631 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905641 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.905651 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905660 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905670 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.905680 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905690 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.905700 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905714 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.905724 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905734 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.no_channel:0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905743 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.905753 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.905763 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905773 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.905783 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905793 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905803 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.905813 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905823 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905833 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905843 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.905853 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.905863 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905873 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905882 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.905892 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.905902 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905912 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.905921 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905931 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.905941 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905951 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.905965 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.905975 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.failed:0|c 05:48:58.905985 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.905995 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.906005 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.906014 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.906024 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906034 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.906044 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.906056 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906067 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.906077 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.906087 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.906097 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906107 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906117 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.906126 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.906136 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.906146 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.906155 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.906165 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.906175 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.906185 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.906194 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.906204 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.906214 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.906223 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.906233 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.906243 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.906252 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.906262 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.906272 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit } } 05:48:58.906355 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit } } } id 16 05:48:58.906374 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.906415 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit } } } id 16 05:48:58.906435 27 StatsD_Checker.ttcn:199 Message with id 16 was extracted from the queue of STATS. 05:48:58.906553 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6274732E322E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6274732E322E73727663632E617474656D707465643A307C630A5454434E332E6274732E322E73727663632E636F6D706C657465643A307C630A5454434E332E6274732E322E73727663632E73746F707065643A307C630A5454434E332E6274732E322E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E322E73727663632E74696D656F75743A307C630A5454434E332E6274732E322E73727663632E6661696C65643A307C630A5454434E332E6274732E322E73727663632E6572726F723A307C630A5454434E332E6274732E322E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6274732E322E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6274732E322E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6274732E322E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6274732E322E636D5F736572765F72656A3A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F686C723A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696C6C6567616C5F6D733A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F766C723A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696D65695F6E6F745F61636365707465643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696C6C6567616C5F6D653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E706C6D6E5F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E6C6F635F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E726F616D696E675F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E6E6574776F726B5F6661696C7572653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E73796E63685F6661696C7572653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E636F6E67657374696F6E3A307C63'O ("TTCN3.bts.2.interbsc_ho_in.timeout:0|c\nTTCN3.bts.2.interbsc_ho_in.error:0|c\nTTCN3.bts.2.srvcc.attempted:0|c\nTTCN3.bts.2.srvcc.completed:0|c\nTTCN3.bts.2.srvcc.stopped:0|c\nTTCN3.bts.2.srvcc.no_channel:0|c\nTTCN3.bts.2.srvcc.timeout:0|c\nTTCN3.bts.2.srvcc.failed:0|c\nTTCN3.bts.2.srvcc.error:0|c\nTTCN3.bts.2.all_allocated.sdcch:0|c\nTTCN3.bts.2.all_allocated.static_sdcch:0|c\nTTCN3.bts.2.all_allocated.tch:0|c\nTTCN3.bts.2.all_allocated.static_tch:0|c\nTTCN3.bts.2.cm_serv_rej:0|c\nTTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.2.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.2.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.network_failure:0|c\nTTCN3.bts.2.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.2.cm_serv_rej.congestion:0|c") } id 17 05:48:58.906577 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.interbsc_ho_in.timeout:0|c\nTTCN3.bts.2.interbsc_ho_in.error:0|c\nTTCN3.bts.2.srvcc.attempted:0|c\nTTCN3.bts.2.srvcc.completed:0|c\nTTCN3.bts.2.srvcc.stopped:0|c\nTTCN3.bts.2.srvcc.no_channel:0|c\nTTCN3.bts.2.srvcc.timeout:0|c\nTTCN3.bts.2.srvcc.failed:0|c\nTTCN3.bts.2.srvcc.error:0|c\nTTCN3.bts.2.all_allocated.sdcch:0|c\nTTCN3.bts.2.all_allocated.static_sdcch:0|c\nTTCN3.bts.2.all_allocated.tch:0|c\nTTCN3.bts.2.all_allocated.static_tch:0|c\nTTCN3.bts.2.cm_serv_rej:0|c\nTTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.2.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.2.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.2.cm_serv_rej.network_failure:0|c\nTTCN3.bts.2.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.2.cm_serv_rej.congestion:0|c" 05:48:58.906616 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.timeout:0|c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906626 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.906636 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.906646 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906656 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.906666 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906676 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906690 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.906701 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906711 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.906722 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906731 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.906742 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906761 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906771 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.906781 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.906791 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906801 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.906811 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906824 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.906834 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906844 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.906854 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906864 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.interbsc_ho_in.error:0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906874 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.906884 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.906893 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906903 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.906919 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.906929 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906939 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.906949 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906959 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.906970 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.906980 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.906990 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.906999 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907009 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907019 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.907029 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907039 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907052 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.907062 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907072 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.907082 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907092 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.907102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907112 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.attempted:0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907121 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.907131 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.907141 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907154 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.907164 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907174 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907184 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.907195 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907204 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907215 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907225 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.907235 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907244 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907254 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907264 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.907274 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907297 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.907307 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907317 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.907326 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907336 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.907346 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907356 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.completed:0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907366 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.907375 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.907385 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907399 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.907409 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907419 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907428 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.907439 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907448 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907459 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907469 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.907479 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907489 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907499 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907508 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.907518 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907528 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907541 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.907551 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907561 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.907571 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907581 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.907591 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907601 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.stopped:0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907610 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.907620 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.907630 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907644 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.907654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907664 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907673 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.907684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907694 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907704 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907714 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.907724 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907734 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907744 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907753 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.907763 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907773 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907787 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.907796 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907806 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.907816 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907826 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.907836 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907846 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.no_channel:0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.907865 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.907875 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907885 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.907894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907905 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907918 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.907928 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907938 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.907949 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907959 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.907969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.907978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.907988 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.907998 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.908008 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908017 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908027 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.908037 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908051 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.908061 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908070 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.908080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908090 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.timeout:0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908100 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.908110 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.908119 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908129 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.908139 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908149 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908162 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.908173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908183 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908193 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908203 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.908213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908223 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908233 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908242 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.908252 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908262 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908272 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.908282 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908291 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.908301 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908315 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.908325 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908335 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.failed:0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908345 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.908355 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.908364 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908374 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.908384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908394 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908404 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.908414 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908424 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908434 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908448 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.908458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908468 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908478 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908487 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.908497 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908507 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908517 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.908527 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908536 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.908546 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908556 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.908566 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908576 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.srvcc.error:0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908589 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.908599 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.908609 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908619 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.908628 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908638 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908648 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.908659 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908668 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908679 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908688 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.908699 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908708 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908718 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908728 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.908738 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908751 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908761 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.908771 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908781 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.908791 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908800 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.908810 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908820 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.all_allocated.sdcch:0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908830 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.908840 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.908849 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908859 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.908869 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908894 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.908905 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908914 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908925 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908935 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.908945 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.908955 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.908965 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.908974 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.908984 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.908994 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909004 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.909013 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909024 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.909038 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909048 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.909058 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909068 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.all_allocated.static_sdcch:0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909078 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.909087 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.909097 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909107 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.909117 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909127 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909137 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.909147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909157 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909168 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909177 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.909188 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909211 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909221 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.909231 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909241 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909251 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.909261 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909271 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.909280 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909290 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.909300 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909310 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.all_allocated.tch:0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909320 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.909330 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.909340 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909353 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.909363 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909373 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909383 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.909394 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909403 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909414 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909424 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.909434 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909444 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909454 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909464 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.909474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909483 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909493 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.909503 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909517 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.909527 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909537 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.909547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909557 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.all_allocated.static_tch:0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909567 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.909577 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.909587 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909597 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.909606 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909617 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909627 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.909637 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909647 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909657 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909667 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.909678 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909687 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909697 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909716 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.909726 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909736 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909746 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.909756 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909766 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.909776 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909786 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.909796 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909805 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909815 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.909825 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.909835 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909845 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.909855 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909865 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909879 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.909889 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909910 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909920 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.909930 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.909940 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.909950 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909960 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.909970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.909979 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.909989 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.909999 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910009 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.910019 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910029 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.910039 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910049 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910062 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.910073 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.910082 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910092 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.910102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910112 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910122 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.910132 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910142 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910153 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910162 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.910173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910192 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910202 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.910212 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910221 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910231 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.910241 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910251 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.910260 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910274 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.910284 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910294 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.illegal_ms:0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910303 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.910313 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.910323 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910332 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.910342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910352 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910362 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.910372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910382 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910393 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910402 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.910412 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910422 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910432 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910441 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.910451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910461 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910474 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.910484 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910494 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.910504 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910513 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.910523 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910533 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910543 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.910553 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.910562 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910572 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.910582 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910592 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910601 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.910612 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910621 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910632 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910641 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.910651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910661 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910671 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910680 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.910690 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910704 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910714 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.910724 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910734 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.910743 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910753 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.910763 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910773 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910782 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.910792 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.910802 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910811 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.910821 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910831 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910841 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.910851 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910861 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910871 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910881 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.910891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.910901 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.910910 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910920 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.910935 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.910945 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910955 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.910964 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910974 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.910984 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.910993 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.911003 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911013 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.illegal_me:0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911023 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.911033 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.911042 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911052 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.911061 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911072 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911081 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.911091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911101 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911111 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911121 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.911131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911151 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911160 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.911170 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911180 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911193 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.911203 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911213 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.911223 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911233 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.911243 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911253 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911262 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.911272 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.911282 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911292 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.911301 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911312 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911321 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.911332 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911341 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911352 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911362 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.911372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911382 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911391 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911401 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.911411 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911421 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911430 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.911440 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911450 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.911460 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911473 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.911483 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911493 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911503 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.911513 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.911523 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911532 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.911542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911553 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911562 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.911573 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911582 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911593 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911603 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.911613 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911623 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911633 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911642 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.911652 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911662 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911672 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.911682 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911691 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.911701 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911711 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.911721 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911731 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911740 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.911750 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.911760 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911769 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.911779 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911789 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911799 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.911813 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911823 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911834 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911844 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.911854 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.911864 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911873 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911883 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.911893 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.911903 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911912 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.911922 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911932 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.911942 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911951 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.911961 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.911971 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.network_failure:0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.911981 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.911991 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.912000 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912010 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.912020 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912030 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912039 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.912052 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912063 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.912073 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912083 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.912093 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912103 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912113 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912122 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.912132 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.912142 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912151 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.912161 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912171 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.912181 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912190 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.912200 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912210 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.synch_failure:0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912226 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.912236 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.912245 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912255 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.912265 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912275 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912284 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.912295 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912305 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.912315 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912325 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.912335 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912344 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912354 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912364 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.912374 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.912383 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912393 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.912402 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912412 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.912422 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912431 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.912441 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912451 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.congestion:0|c 05:48:58.912461 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.912470 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.912480 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.912490 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.912499 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912509 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.912519 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.912529 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912539 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.912549 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.912559 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.912569 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.912579 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.912589 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.912600 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.912611 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.912622 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.912632 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.912641 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.912651 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.912661 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.912670 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.912680 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.912690 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.912699 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.912709 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.912719 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.912728 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.912738 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.912748 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit } } 05:48:58.912830 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit } } } id 17 05:48:58.912853 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.912893 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit } } } id 17 05:48:58.912909 27 StatsD_Checker.ttcn:199 Message with id 17 was extracted from the queue of STATS. 05:48:58.913031 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E636D5F736572765F72656A2E7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E7271645F7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E7372765F6F70745F746D705F6F75745F6F665F6F726465723A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E63616C6C5F63616E5F6E6F745F62655F6964656E7469666965643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696E636F72726563745F6D6573736167653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696E76616C69645F6D616E64616E746F72795F696E663A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E6D73675F747970655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E6D73675F747970655F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E696E665F656C656D655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E636F6E6474696F6E616C5F69655F6572726F723A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E6D73675F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E70726F746F636F6C5F6572726F723A307C630A5454434E332E6274732E322E636D5F736572765F72656A2E72657472795F696E5F6E65775F63656C6C3A307C630A5454434E332E6274732E312E63687265712E746F74616C3A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F656D6572673A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F63616C6C3A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F7061673A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F706463683A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F6F746865723A307C630A5454434E332E6274732E312E63687265712E617474656D707465645F756E6B6E6F776E3A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C3A307C63'O ("TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.2.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.2.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.1.chreq.total:0|c\nTTCN3.bts.1.chreq.attempted_emerg:0|c\nTTCN3.bts.1.chreq.attempted_call:0|c\nTTCN3.bts.1.chreq.attempted_location_upd:0|c\nTTCN3.bts.1.chreq.attempted_pag:0|c\nTTCN3.bts.1.chreq.attempted_pdch:0|c\nTTCN3.bts.1.chreq.attempted_other:0|c\nTTCN3.bts.1.chreq.attempted_unknown:0|c\nTTCN3.bts.1.chreq.successful:0|c") } id 18 05:48:58.913055 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.2.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.2.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.1.chreq.total:0|c\nTTCN3.bts.1.chreq.attempted_emerg:0|c\nTTCN3.bts.1.chreq.attempted_call:0|c\nTTCN3.bts.1.chreq.attempted_location_upd:0|c\nTTCN3.bts.1.chreq.attempted_pag:0|c\nTTCN3.bts.1.chreq.attempted_pdch:0|c\nTTCN3.bts.1.chreq.attempted_other:0|c\nTTCN3.bts.1.chreq.attempted_unknown:0|c\nTTCN3.bts.1.chreq.successful:0|c" 05:48:58.913090 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913103 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.913113 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.913123 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913133 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.913143 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913154 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913163 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.913174 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913184 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913194 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913204 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.913215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913225 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913234 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913248 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.913258 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913268 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913278 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.913288 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913298 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.913307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913317 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.913327 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913337 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913351 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.913361 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.913370 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913380 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.913390 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913400 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913410 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.913420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913430 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913441 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913454 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.913465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913474 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913484 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913494 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.913504 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913513 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913523 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.913533 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913543 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.913553 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913566 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.913577 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913587 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913596 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.913606 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.913616 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913626 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.913635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913646 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913655 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.913666 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913675 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913686 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913700 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.913721 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913731 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913741 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913751 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.913761 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913771 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913780 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.913790 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913801 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.913811 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913824 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.913835 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913845 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.913865 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.913874 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913884 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.913894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913905 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913917 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.913929 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913940 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.913953 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.913967 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.913977 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.913987 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.913997 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914007 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.914017 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914027 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914037 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.914047 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914057 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.914067 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914076 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.914087 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914100 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.incorrect_message:0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914110 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.914120 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.914130 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914140 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.914150 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914160 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914170 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.914181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914190 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914201 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914211 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.914221 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914231 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914241 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914255 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.914265 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914275 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914285 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.914295 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914305 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.914315 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914325 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.914335 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914345 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914361 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.914371 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.914381 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914391 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.914401 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914411 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914421 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.914432 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914441 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914452 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914462 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.914473 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914482 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914492 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.914512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914522 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914536 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.914546 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914556 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.914566 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914576 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.914586 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914596 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914606 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.914616 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.914626 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914636 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.914646 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914656 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914670 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.914681 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914690 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914701 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914711 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.914722 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914732 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914742 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914752 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.914762 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914771 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914781 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.914791 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914801 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.914811 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914825 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.914835 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914845 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.914865 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.914875 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914885 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.914895 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914905 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914915 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.914926 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914936 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.914946 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.914957 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.914967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.914977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.914987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915001 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.915012 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915021 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915031 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.915041 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915051 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.915061 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915071 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.915081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915091 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915101 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.915111 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.915121 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915131 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.915141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915151 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915165 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.915176 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915185 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915196 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915206 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.915217 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915226 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915236 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915246 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.915256 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915266 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915276 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.915286 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915296 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.915306 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915316 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.915330 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915340 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915350 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.915360 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.915370 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915380 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.915390 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915400 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915410 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.915421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915431 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915441 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915452 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.915462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915472 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915482 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915492 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.915502 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915512 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915526 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.915536 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915546 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.915556 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915566 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.915576 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915586 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915596 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.915606 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.915616 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915628 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.915638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915648 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915658 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.915668 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915678 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915689 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915698 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.915709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915718 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915728 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915742 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.915752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915761 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915771 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.915781 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915791 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.915800 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915810 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.915820 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915830 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.protocol_error:0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915839 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.915849 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.915859 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915868 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.915878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915888 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915898 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.915908 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915918 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.915928 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915942 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.915952 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.915962 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.915972 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.915981 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.915991 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916001 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916010 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.916020 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916030 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.916040 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916049 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.916059 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916069 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916079 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.916088 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.916098 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916108 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.916117 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916128 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916141 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.916151 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916161 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916171 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916181 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.916191 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916211 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916220 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.916230 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916240 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916249 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.916259 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916269 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.916279 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916288 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.916298 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916308 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.total:0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916318 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.916328 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.916337 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916347 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.916356 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916367 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916380 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.916390 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916400 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916411 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916420 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.916431 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916440 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916450 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916459 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.916469 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916479 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916489 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.916498 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916508 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.916518 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916527 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.916537 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916547 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_emerg:0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916557 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.916566 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.916576 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916586 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.916595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916605 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916615 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.916629 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916639 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916650 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916659 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.916670 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916689 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916698 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.916708 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916718 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916728 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.916737 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916747 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.916757 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916766 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.916776 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916786 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_call:0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916796 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.916806 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.916815 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916825 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.916835 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916845 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916854 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.916865 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916874 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916885 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916898 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.916909 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.916918 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.916928 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916938 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.916947 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.916957 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916967 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.916976 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.916986 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.916996 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917006 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.917015 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917025 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_location_upd:0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917035 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.917045 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.917054 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917064 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.917074 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917084 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917093 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.917104 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917113 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917124 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917133 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.917144 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917153 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917163 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917173 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.917182 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917192 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917202 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.917215 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917225 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.917235 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917244 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.917254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917264 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_pag:0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917274 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.917283 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.917293 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917303 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.917312 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917323 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917332 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.917342 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917352 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917362 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917372 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.917382 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917392 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917402 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917411 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.917421 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917431 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917440 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.917450 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917460 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.917470 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917479 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.917489 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917499 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_pdch:0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917508 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.917518 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.917528 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917537 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.917547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917563 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917573 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.917583 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917593 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917603 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917613 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.917623 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917633 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917642 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917652 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.917662 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917671 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917681 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.917691 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917700 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.917717 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917727 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.917736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917746 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_other:0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917756 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.917766 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.917775 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917785 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.917795 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917805 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917814 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.917825 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917834 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917845 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917854 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.917864 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.917874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917884 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917893 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.917904 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.917915 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917926 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.917937 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917949 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.917960 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.917969 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.917979 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.917989 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.attempted_unknown:0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918003 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.918013 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.918022 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918032 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.918042 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918052 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918062 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.918072 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.918082 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.918092 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918102 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.918112 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.918122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918132 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918141 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.918151 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.918161 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful:0|c 05:48:58.918170 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.918180 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful:0|c 05:48:58.918190 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.918200 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful:0|c 05:48:58.918209 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.918219 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918229 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful:0|c 05:48:58.918239 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.918249 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.918259 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.918268 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.918278 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918289 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.918298 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.918309 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.918319 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.918329 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.918339 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.918349 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.918359 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918369 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.918378 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.918388 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.918398 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.918408 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.918418 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.918427 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.918437 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.918447 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.918457 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.918467 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.918476 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.918486 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.918496 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.918506 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.918516 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.918526 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful", val := 0, mtype := "c", srate := omit } } 05:48:58.918608 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful", val := 0, mtype := "c", srate := omit } } } id 18 05:48:58.918634 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.918674 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.2.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful", val := 0, mtype := "c", srate := omit } } } id 18 05:48:58.918691 27 StatsD_Checker.ttcn:199 Message with id 18 was extracted from the queue of STATS. 05:48:58.918808 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E63687265712E7375636365737366756C5F656D6572673A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F63616C6C3A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F7061673A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F706463683A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F6F746865723A307C630A5454434E332E6274732E312E63687265712E7375636365737366756C5F756E6B6E6F776E3A307C630A5454434E332E6274732E312E63687265712E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E63687265712E6D61785F64656C61795F65786365656465643A307C630A5454434E332E6274732E312E6368616E2E72665F6661696C3A307C630A5454434E332E6274732E312E6368616E2E72665F6661696C5F7463683A307C630A5454434E332E6274732E312E6368616E2E72665F6661696C5F73646363683A307C630A5454434E332E6274732E312E6368616E2E726C6C5F6572723A307C630A5454434E332E6274732E312E6F6D6C5F6661696C3A307C630A5454434E332E6274732E312E72736C5F6661696C3A307C630A5454434E332E6274732E312E636F6465632E616D725F663A307C630A5454434E332E6274732E312E636F6465632E616D725F683A307C630A5454434E332E6274732E312E636F6465632E6566723A307C630A5454434E332E6274732E312E636F6465632E66723A307C630A5454434E332E6274732E312E636F6465632E68723A307C630A5454434E332E6274732E312E706167696E672E617474656D707465643A307C630A5454434E332E6274732E312E706167696E672E616C72656164793A307C630A5454434E332E6274732E312E706167696E672E726573706F6E6465643A307C630A5454434E332E6274732E312E706167696E672E657870697265643A307C630A5454434E332E6274732E312E706167696E672E6E6F5F6163746976655F706167696E673A307C630A5454434E332E6274732E312E706167696E672E6D73635F666C7573683A307C630A5454434E332E6274732E312E706167696E672E6F7665726C6F61643A307C630A5454434E332E6274732E312E6368616E5F6163742E746F74616C3A307C630A5454434E332E6274732E312E6368616E5F6163742E73646363683A307C630A5454434E332E6274732E312E6368616E5F6163742E7463683A307C63'O ("TTCN3.bts.1.chreq.successful_emerg:0|c\nTTCN3.bts.1.chreq.successful_call:0|c\nTTCN3.bts.1.chreq.successful_location_upd:0|c\nTTCN3.bts.1.chreq.successful_pag:0|c\nTTCN3.bts.1.chreq.successful_pdch:0|c\nTTCN3.bts.1.chreq.successful_other:0|c\nTTCN3.bts.1.chreq.successful_unknown:0|c\nTTCN3.bts.1.chreq.no_channel:0|c\nTTCN3.bts.1.chreq.max_delay_exceeded:0|c\nTTCN3.bts.1.chan.rf_fail:0|c\nTTCN3.bts.1.chan.rf_fail_tch:0|c\nTTCN3.bts.1.chan.rf_fail_sdcch:0|c\nTTCN3.bts.1.chan.rll_err:0|c\nTTCN3.bts.1.oml_fail:0|c\nTTCN3.bts.1.rsl_fail:0|c\nTTCN3.bts.1.codec.amr_f:0|c\nTTCN3.bts.1.codec.amr_h:0|c\nTTCN3.bts.1.codec.efr:0|c\nTTCN3.bts.1.codec.fr:0|c\nTTCN3.bts.1.codec.hr:0|c\nTTCN3.bts.1.paging.attempted:0|c\nTTCN3.bts.1.paging.already:0|c\nTTCN3.bts.1.paging.responded:0|c\nTTCN3.bts.1.paging.expired:0|c\nTTCN3.bts.1.paging.no_active_paging:0|c\nTTCN3.bts.1.paging.msc_flush:0|c\nTTCN3.bts.1.paging.overload:0|c\nTTCN3.bts.1.chan_act.total:0|c\nTTCN3.bts.1.chan_act.sdcch:0|c\nTTCN3.bts.1.chan_act.tch:0|c") } id 19 05:48:58.918832 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.chreq.successful_emerg:0|c\nTTCN3.bts.1.chreq.successful_call:0|c\nTTCN3.bts.1.chreq.successful_location_upd:0|c\nTTCN3.bts.1.chreq.successful_pag:0|c\nTTCN3.bts.1.chreq.successful_pdch:0|c\nTTCN3.bts.1.chreq.successful_other:0|c\nTTCN3.bts.1.chreq.successful_unknown:0|c\nTTCN3.bts.1.chreq.no_channel:0|c\nTTCN3.bts.1.chreq.max_delay_exceeded:0|c\nTTCN3.bts.1.chan.rf_fail:0|c\nTTCN3.bts.1.chan.rf_fail_tch:0|c\nTTCN3.bts.1.chan.rf_fail_sdcch:0|c\nTTCN3.bts.1.chan.rll_err:0|c\nTTCN3.bts.1.oml_fail:0|c\nTTCN3.bts.1.rsl_fail:0|c\nTTCN3.bts.1.codec.amr_f:0|c\nTTCN3.bts.1.codec.amr_h:0|c\nTTCN3.bts.1.codec.efr:0|c\nTTCN3.bts.1.codec.fr:0|c\nTTCN3.bts.1.codec.hr:0|c\nTTCN3.bts.1.paging.attempted:0|c\nTTCN3.bts.1.paging.already:0|c\nTTCN3.bts.1.paging.responded:0|c\nTTCN3.bts.1.paging.expired:0|c\nTTCN3.bts.1.paging.no_active_paging:0|c\nTTCN3.bts.1.paging.msc_flush:0|c\nTTCN3.bts.1.paging.overload:0|c\nTTCN3.bts.1.chan_act.total:0|c\nTTCN3.bts.1.chan_act.sdcch:0|c\nTTCN3.bts.1.chan_act.tch:0|c" 05:48:58.918866 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_emerg:0|c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.918877 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.918887 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.918897 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.918910 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.918920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.918931 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.918941 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.918951 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.918961 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.918972 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.918982 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.918992 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919012 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919026 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.919036 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919046 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919056 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.919066 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919075 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.919085 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919095 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.919105 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919115 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_call:0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919129 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.919139 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.919149 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919158 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.919168 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919178 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919188 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.919199 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919208 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919219 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919229 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.919243 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919253 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919263 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919273 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.919283 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919293 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919303 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.919312 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919322 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.919332 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919346 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.919356 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919366 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_location_upd:0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919375 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.919385 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.919395 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919405 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.919414 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919425 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919434 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.919445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919455 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919465 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919479 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.919489 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919499 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919509 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919519 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.919529 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919538 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919548 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.919558 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919568 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.919578 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919591 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.919602 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919612 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_pag:0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919623 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.919634 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.919643 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919653 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.919663 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919673 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919683 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.919693 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919703 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919713 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919727 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.919737 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919747 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919757 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919767 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.919776 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919786 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919796 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.919806 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919816 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.919825 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919839 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.919849 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919859 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_pdch:0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919869 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.919878 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.919888 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919898 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.919908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.919918 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919928 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.919938 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919948 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.919958 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.919972 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.919982 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.919992 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920002 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920011 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.920021 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920031 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920041 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.920051 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920060 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.920070 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920086 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.920096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920106 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_other:0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920115 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.920125 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.920135 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920144 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.920154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920164 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920174 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.920184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920205 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920214 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.920229 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920238 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920248 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920258 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.920268 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920277 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920287 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.920297 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920307 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.920317 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920326 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.920336 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920346 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.successful_unknown:0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920359 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.920369 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.920379 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920389 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.920398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920408 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920418 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.920429 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920438 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920449 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920458 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.920469 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920478 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920488 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.920512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920521 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920531 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.920541 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920551 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.920561 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920570 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.920580 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920590 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.no_channel:0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920600 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.920610 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.920619 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.920643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920653 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920663 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.920673 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920683 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920693 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920703 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.920713 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920723 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920733 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920743 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.920752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920762 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920772 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.920782 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920795 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.920805 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920815 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.920825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920835 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chreq.max_delay_exceeded:0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920845 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.920854 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.920864 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920874 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.920884 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920894 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920903 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.920914 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920928 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.920938 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920948 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.920959 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.920968 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.920978 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.920988 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.920998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921007 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921017 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.921027 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921037 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.921046 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921056 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.921066 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921080 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan.rf_fail:0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921090 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.921099 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.921109 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921119 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.921129 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921139 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921149 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.921159 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921169 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921179 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921189 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.921199 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921209 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921218 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921228 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.921242 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921252 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921262 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.921272 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921282 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.921291 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921301 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.921311 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921321 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan.rf_fail_tch:0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921331 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.921341 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.921351 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921360 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.921370 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921381 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921394 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.921405 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921415 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921425 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921435 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.921446 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921455 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921465 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921475 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.921485 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921495 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921504 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.921514 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921524 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.921535 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921553 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.921564 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921575 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan.rf_fail_sdcch:0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921585 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.921595 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.921604 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921614 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.921624 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921635 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921644 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.921655 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921665 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921675 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921685 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.921696 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921709 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921721 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921730 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.921740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921754 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921764 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.921774 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921784 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.921794 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921804 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.921814 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921824 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan.rll_err:0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921834 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.921844 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.921854 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921864 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.921874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921884 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921894 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.921910 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921921 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.921934 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921945 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.921957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.921967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.921977 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.921986 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.921996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922006 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922016 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.922026 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922036 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.922046 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922056 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.922066 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922076 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.oml_fail:0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922090 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.922100 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.922110 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922120 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.922130 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922140 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922150 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.922161 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922171 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922181 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922192 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.922202 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922212 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922222 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922232 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.922242 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922251 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922261 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.922271 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922285 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.922295 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922305 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.922315 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922325 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rsl_fail:0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922335 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.922345 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.922355 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922365 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.922375 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922385 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922395 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.922406 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922415 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922426 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922436 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.922447 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922456 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922466 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922482 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.922493 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922503 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922513 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.922523 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922533 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.922543 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922553 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.922563 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922573 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.codec.amr_f:0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922583 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.922593 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.922602 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922612 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.922622 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922633 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922642 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.922653 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922663 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922674 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922688 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.922699 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922708 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922718 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922728 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.922738 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922747 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922757 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.922767 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922777 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.922787 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922797 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.922807 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922817 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.codec.amr_h:0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922826 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.922836 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.922846 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922856 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.922866 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922876 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922890 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.922901 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922910 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922921 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922931 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.922941 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.922951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.922961 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.922971 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.922980 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.922990 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923000 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.923010 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923019 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.923029 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923039 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.923049 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923059 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.codec.efr:0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923069 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.923078 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:58.923092 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923102 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.923112 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923122 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923132 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.923142 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923152 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923162 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923172 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.923183 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923192 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923202 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923212 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.923222 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923231 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923241 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.923251 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923261 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.923270 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923280 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.923290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923300 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.codec.fr:0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923314 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.923324 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.923333 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923343 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.923353 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923363 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923373 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.923383 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923393 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923403 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923413 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.923423 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923433 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923443 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923452 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.923462 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923472 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923482 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.923492 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923501 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.923511 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923525 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.923535 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923545 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.codec.hr:0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923554 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.923564 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.923574 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923584 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.923594 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923604 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923613 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.923624 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923634 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923646 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923656 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.923667 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923686 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923696 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.923705 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923715 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923725 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.923734 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923744 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.923754 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923767 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.923778 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923787 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.attempted:0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923797 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.923807 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.923816 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923826 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.923836 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923846 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923855 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.923866 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923875 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923886 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923895 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.923906 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.923915 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.923925 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923935 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.923945 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.923954 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923964 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.923974 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.923983 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.923993 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924003 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.924013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924027 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.already:0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924037 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.924047 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.924057 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924066 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.924076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924086 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924096 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.924107 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924116 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924127 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924137 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.924147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924167 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924177 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.924187 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924196 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924206 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.924216 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924226 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.924236 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924246 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.924256 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924266 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.responded:0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924275 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.924285 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.924299 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924309 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.924319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924329 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924339 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.924349 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924359 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924369 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924379 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.924390 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924399 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924409 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924419 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.924429 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924438 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924448 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.924458 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924468 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.924477 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924487 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.924497 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924507 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.expired:0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924517 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.924527 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.924536 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924546 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.924556 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924566 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924576 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.924590 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924600 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924611 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924620 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.924631 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924640 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924650 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924660 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.924670 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924680 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924690 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.924699 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924709 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.924719 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924729 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.924739 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924749 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.no_active_paging:0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924759 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.924768 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.924778 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924788 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.924798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924808 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924817 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.924828 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924837 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924848 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924857 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.924868 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.924877 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924887 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924897 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.924907 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.924916 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924930 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.924940 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924949 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.924959 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924969 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.924979 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.924989 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.msc_flush:0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.924999 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.925009 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.925018 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925028 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.925038 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925048 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925058 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.925070 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925081 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925091 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925101 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.925112 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925131 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925141 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.925151 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925161 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925171 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.925180 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925190 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.925200 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925210 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.925220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925230 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.overload:0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925239 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.925249 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.925259 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925269 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.925278 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925288 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925302 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.925312 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925322 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925333 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925342 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.925353 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925372 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925382 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.925391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925401 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925411 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.925420 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925430 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.925440 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925450 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.925459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925469 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_act.total:0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925479 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.925489 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.925498 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925508 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.925518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925528 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925537 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.925548 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925568 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925577 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.925588 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925598 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925607 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925617 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.925627 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925639 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925650 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.925661 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925671 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.925681 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925690 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.925700 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925721 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_act.sdcch:0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925731 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.925741 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.925751 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925760 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.925770 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925784 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925794 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.925805 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925814 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925825 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925835 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.925845 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.925855 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925864 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925874 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.925884 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.925893 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925903 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.925913 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925925 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.925936 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925947 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.925959 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.925969 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_act.tch:0|c 05:48:58.925979 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.925989 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.925999 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.926008 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.926018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926028 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.926038 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.926048 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.926058 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.926068 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.926078 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.926088 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.926098 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926108 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.926117 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.926127 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.926137 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.926146 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.926156 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.926166 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.926176 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.926185 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.926195 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.926205 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.926215 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.926225 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.926235 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.926244 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.926254 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.926264 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.tch", val := 0, mtype := "c", srate := omit } } 05:48:58.926350 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.tch", val := 0, mtype := "c", srate := omit } } } id 19 05:48:58.926377 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.926419 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_act.tch", val := 0, mtype := "c", srate := omit } } } id 19 05:48:58.926436 27 StatsD_Checker.ttcn:199 Message with id 19 was extracted from the queue of STATS. 05:48:58.926562 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E6368616E5F6163742E6E61636B3A307C630A5454434E332E6274732E312E6368616E5F7463682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E312E6368616E5F73646363682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E312E6368616E5F7463682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E312E6368616E5F73646363682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E312E72736C2E756E6B6E6F776E3A307C630A5454434E332E6274732E312E72736C2E6970615F6E61636B3A307C630A5454434E332E6274732E312E72736C2E64656C6574655F696E643A307C630A5454434E332E6274732E312E6368616E2E6D6F64655F6D6F646966795F6E61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F61637469765F61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72665F72656C656173655F61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F6E61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E72665F6368616E5F72656C5F61636B3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E7674793A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E6576656E742E74735F6572726F723A307C630A5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72725F6368616E5F6D6F64655F6D6F646966795F61636B3A307C63'O ("TTCN3.bts.1.chan_act.nack:0|c\nTTCN3.bts.1.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.1.chan_tch.fully_established:0|c\nTTCN3.bts.1.chan_sdcch.fully_established:0|c\nTTCN3.bts.1.rsl.unknown:0|c\nTTCN3.bts.1.rsl.ipa_nack:0|c\nTTCN3.bts.1.rsl.delete_ind:0|c\nTTCN3.bts.1.chan.mode_modify_nack:0|c\nTTCN3.bts.1.lchan_borken.from_state.unused:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.1.lchan_borken.from_state.borken:0|c\nTTCN3.bts.1.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.1.lchan_borken.event.vty:0|c\nTTCN3.bts.1.lchan_borken.event.teardown:0|c\nTTCN3.bts.1.lchan_borken.event.ts_error:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c") } id 20 05:48:58.926586 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.chan_act.nack:0|c\nTTCN3.bts.1.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.1.chan_tch.fully_established:0|c\nTTCN3.bts.1.chan_sdcch.fully_established:0|c\nTTCN3.bts.1.rsl.unknown:0|c\nTTCN3.bts.1.rsl.ipa_nack:0|c\nTTCN3.bts.1.rsl.delete_ind:0|c\nTTCN3.bts.1.chan.mode_modify_nack:0|c\nTTCN3.bts.1.lchan_borken.from_state.unused:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.1.lchan_borken.from_state.borken:0|c\nTTCN3.bts.1.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.1.lchan_borken.event.vty:0|c\nTTCN3.bts.1.lchan_borken.event.teardown:0|c\nTTCN3.bts.1.lchan_borken.event.ts_error:0|c\nTTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c" 05:48:58.926619 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_act.nack:0|c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926633 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.926643 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.926653 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926663 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.926673 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926684 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926694 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.926704 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.926714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.926725 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926735 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.926746 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.926755 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926765 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926779 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.926789 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.926799 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926809 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.926819 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926829 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.926839 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.926862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch.active_milliseconds.total:0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926882 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.926892 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.926902 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926912 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.926922 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.926932 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926941 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.926952 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.926962 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.926972 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.926986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.926996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927016 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927026 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.927036 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927046 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927055 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.927065 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927075 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.927085 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927099 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.927109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927119 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927129 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.927139 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.927149 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927159 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.927169 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927179 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927189 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.927199 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927209 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927220 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927234 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.927245 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927264 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927274 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.927284 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927294 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927304 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.927314 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927324 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.927334 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927348 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.927358 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927368 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch.fully_established:0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927378 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.927388 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.927398 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927407 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.927417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927428 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927437 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.927448 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927458 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927468 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927482 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.927493 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927503 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927513 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927523 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.927533 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927542 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927552 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.927562 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927572 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.927582 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927592 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.927606 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927616 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch.fully_established:0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927626 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.927638 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.927648 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927658 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.927668 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927678 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927688 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.927698 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927708 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927719 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927729 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.927739 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927749 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927758 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927772 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.927783 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927792 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927802 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.927812 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927822 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.927832 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927842 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.927852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927862 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rsl.unknown:0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927876 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.927886 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.927896 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927906 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.927915 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.927926 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927936 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.927946 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927956 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.927967 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.927977 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.927987 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.927997 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928007 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928016 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.928026 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928036 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928050 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.928060 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928070 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.928080 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928089 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.928099 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928109 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rsl.ipa_nack:0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928119 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.928129 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.928139 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928149 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.928162 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928173 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928182 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.928193 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928213 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928223 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.928233 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928243 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928253 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928263 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.928273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928292 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.928302 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928318 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.928328 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928338 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.928348 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928358 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rsl.delete_ind:0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928368 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.928378 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.928388 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928397 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.928407 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928418 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928427 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.928438 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928448 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928458 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928472 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.928483 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928493 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928503 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928513 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.928522 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928532 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928542 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.928552 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928562 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.928572 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928581 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.928591 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928601 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan.mode_modify_nack:0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928615 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.928625 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.928635 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928645 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.928655 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928665 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928675 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.928685 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928695 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928706 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928715 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.928726 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928745 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928755 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.928765 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928775 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928789 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.928799 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928809 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.928818 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928828 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.928838 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928848 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.unused:0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928858 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.928868 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.928878 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928888 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.928898 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928908 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928922 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.928932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928942 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.928953 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.928963 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.928973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.928983 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.928993 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929003 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.929013 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929023 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929032 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.929042 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929052 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.929062 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929072 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.929082 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929092 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929106 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.929116 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.929126 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929135 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.929145 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929156 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929165 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.929176 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929185 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929196 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929206 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.929216 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929226 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929236 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929246 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.929256 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929265 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929279 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.929289 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929299 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.929309 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929319 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.929329 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929339 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929349 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.929359 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:58.929369 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929378 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.929388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929399 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929408 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.929419 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929428 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929439 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929449 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.929459 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929483 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929493 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.929503 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929512 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929522 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.929532 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929542 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.929551 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929561 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.929571 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929581 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.borken:0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929590 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.929600 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.929610 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929620 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.929629 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929640 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929653 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.929664 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929673 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929684 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929694 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.929709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929721 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929730 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929740 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.929750 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929760 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929769 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.929779 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929789 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.929799 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929808 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.929818 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929828 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.unknown:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929837 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.929847 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.929857 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929871 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.929881 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929891 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929900 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.929913 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929924 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.929936 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929947 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.929959 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.929969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.929978 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.929988 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.929998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930008 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930017 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.930027 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930037 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.930047 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930056 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.930066 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930076 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930086 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.930096 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.930105 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930119 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.930129 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930139 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930149 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.930159 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930169 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930179 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930189 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.930199 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930209 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930219 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930228 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.930238 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930248 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930258 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.930268 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930277 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.930287 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930297 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.930307 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930317 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930326 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.930336 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.930346 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930359 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.930369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930380 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930389 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.930400 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930409 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930420 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930430 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.930440 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930450 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930460 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930469 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.930479 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930489 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930498 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.930508 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930518 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.930528 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930537 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.930547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930557 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930567 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.930577 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.930586 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930596 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.930606 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930616 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930625 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.930636 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930646 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930660 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930670 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.930680 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930690 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930700 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930709 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.930719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930729 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930739 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.930748 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930758 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.930768 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930778 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.930788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930798 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.vty:0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930807 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.930817 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.930827 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930836 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.930846 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930856 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930866 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.930876 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930886 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930897 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930906 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.930917 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.930926 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.930936 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930946 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.930955 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.930965 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930975 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.930989 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.930998 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.931008 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931018 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.931028 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931038 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.teardown:0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931047 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.931057 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.931067 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931077 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.931086 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931097 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931106 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.931117 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931126 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.931137 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931146 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.931157 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931167 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931176 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931186 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.931196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.931206 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931215 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.931225 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931235 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.931245 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931254 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.931264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931274 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.event.ts_error:0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931284 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.931294 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.931304 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931313 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.931323 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931334 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931343 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.931354 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931364 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.931374 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931388 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.931398 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931408 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931418 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931428 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.931438 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.931447 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931457 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.931467 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931477 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.931487 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931496 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.931506 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931516 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c 05:48:58.931526 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.931536 27 StatsD_CodecPort.ttcn:36 match_first result: 64 05:48:58.931546 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.931555 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.931565 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931575 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.931584 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.931595 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.931615 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.931624 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.931635 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.931647 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.931657 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.931666 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.931676 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.931686 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.931695 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.931705 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.931714 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.931724 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.931734 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.931744 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.931754 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.931763 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.931773 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.931783 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.931792 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.931802 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.931812 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } 05:48:58.931891 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } } id 20 05:48:58.931910 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.931948 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } } id 20 05:48:58.931968 27 StatsD_Checker.ttcn:199 Message with id 20 was extracted from the queue of STATS. 05:48:58.932083 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72736C5F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E6E6F745F696E697469616C697A65643A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F6163743A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E706463683A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F64656163743A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E696E5F7573653A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E312E74735F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E312E74735F626F726B656E2E6576656E742E706463685F6163745F61636B5F6E61636B3A307C630A5454434E332E6274732E312E74735F626F726B656E2E6576656E742E706463685F64656163745F61636B5F6E61636B3A307C630A5454434E332E6274732E312E74735F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E617474656D707465643A307C630A5454434E332E6274732E312E61737369676E6D656E742E617474656D707465645F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E617474656D707465645F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E636F6D706C657465643A307C630A5454434E332E6274732E312E61737369676E6D656E742E636F6D706C657465645F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E636F6D706C657465645F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E73746F707065643A307C630A5454434E332E6274732E312E61737369676E6D656E742E73746F707065645F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E73746F707065645F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E6E6F5F6368616E6E656C3A307C63'O ("TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.1.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.1.ts_borken.from_state.unused:0|c\nTTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.1.ts_borken.from_state.pdch:0|c\nTTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.1.ts_borken.from_state.in_use:0|c\nTTCN3.bts.1.ts_borken.from_state.borken:0|c\nTTCN3.bts.1.ts_borken.from_state.unknown:0|c\nTTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.1.ts_borken.event.teardown:0|c\nTTCN3.bts.1.assignment.attempted:0|c\nTTCN3.bts.1.assignment.attempted_sign:0|c\nTTCN3.bts.1.assignment.attempted_speech:0|c\nTTCN3.bts.1.assignment.completed:0|c\nTTCN3.bts.1.assignment.completed_sign:0|c\nTTCN3.bts.1.assignment.completed_speech:0|c\nTTCN3.bts.1.assignment.stopped:0|c\nTTCN3.bts.1.assignment.stopped_sign:0|c\nTTCN3.bts.1.assignment.stopped_speech:0|c\nTTCN3.bts.1.assignment.no_channel:0|c") } id 21 05:48:58.932107 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.1.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.1.ts_borken.from_state.unused:0|c\nTTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.1.ts_borken.from_state.pdch:0|c\nTTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.1.ts_borken.from_state.in_use:0|c\nTTCN3.bts.1.ts_borken.from_state.borken:0|c\nTTCN3.bts.1.ts_borken.from_state.unknown:0|c\nTTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.1.ts_borken.event.teardown:0|c\nTTCN3.bts.1.assignment.attempted:0|c\nTTCN3.bts.1.assignment.attempted_sign:0|c\nTTCN3.bts.1.assignment.attempted_speech:0|c\nTTCN3.bts.1.assignment.completed:0|c\nTTCN3.bts.1.assignment.completed_sign:0|c\nTTCN3.bts.1.assignment.completed_speech:0|c\nTTCN3.bts.1.assignment.stopped:0|c\nTTCN3.bts.1.assignment.stopped_sign:0|c\nTTCN3.bts.1.assignment.stopped_speech:0|c\nTTCN3.bts.1.assignment.no_channel:0|c" 05:48:58.932141 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932151 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.932161 27 StatsD_CodecPort.ttcn:36 match_first result: 65 05:48:58.932171 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932185 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.932195 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932205 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932215 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.932226 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932246 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932256 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.932267 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932276 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932286 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932296 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.932306 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932316 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932329 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.932339 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932349 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.932359 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932369 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.932379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932389 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.not_initialized:0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932399 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.932408 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.932418 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932432 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.932442 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932452 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932462 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.932472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932482 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932492 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932502 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.932513 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932522 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932532 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932546 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.932556 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932566 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932576 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.932585 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932595 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.932605 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932615 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.932625 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932635 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.unused:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932649 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.932659 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.932668 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932678 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.932688 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932698 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932708 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.932718 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932739 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.932759 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932778 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932792 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.932802 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932812 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932822 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.932831 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932841 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.932851 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932861 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.932871 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932881 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932890 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.932904 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.932914 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932923 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.932933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.932943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.932963 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.932973 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.932984 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.932993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.933004 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933023 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933033 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.933043 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933056 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933066 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.933076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.933096 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933106 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.933116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933126 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.pdch:0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933135 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.933145 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.933155 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933169 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.933178 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933189 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933198 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.933209 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933229 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933239 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.933249 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933259 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933268 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933278 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.933288 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933298 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933311 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.933321 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933331 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.933341 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933351 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.933361 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933371 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933380 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.933390 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.933400 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933409 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.933419 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933429 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933443 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.933453 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933463 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933473 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933483 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.933494 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933503 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933513 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933523 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.933533 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933542 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933552 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.933562 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933572 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.933582 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933595 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.933605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933615 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.in_use:0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933625 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.933635 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.933644 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.933664 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933674 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933684 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.933694 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933727 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933743 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.933754 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933764 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933774 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933783 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.933793 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.933823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933832 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.933842 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.933862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.borken:0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933882 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.933896 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.933905 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933915 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.933925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.933935 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.933955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.933965 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.933978 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.933989 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.934001 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934023 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934033 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.934043 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934052 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934062 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.934076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.934096 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934106 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.934116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934126 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.from_state.unknown:0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934136 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.934146 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.934156 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934165 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.934175 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934185 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934195 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.934205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934226 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934239 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.934250 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934260 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934269 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934279 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.934289 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934299 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934309 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.934319 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934329 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.934338 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934348 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.934358 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934368 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934378 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.934388 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.934397 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934411 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.934421 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934431 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934441 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.934451 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934461 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934472 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934482 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.934492 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934502 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934512 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934521 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.934531 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934541 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934551 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.934561 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934571 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.934584 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934594 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.934604 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934614 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934624 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.934634 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.934644 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.934663 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934674 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934683 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.934694 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934704 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934714 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934724 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.934734 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934744 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934754 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934763 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.934773 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934783 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934798 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.934808 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934817 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.934827 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934837 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.934847 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934857 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken.event.teardown:0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934866 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.934876 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.934886 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934896 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.934905 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934916 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934925 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.934936 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934945 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.934956 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.934965 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.934980 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.934990 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.934999 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935009 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.935019 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935029 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935039 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.935048 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935058 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.935068 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935078 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.935088 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935098 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.attempted:0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935108 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.935118 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.935127 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935137 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.935147 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935157 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935167 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.935177 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935191 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935202 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935211 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.935222 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935231 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935241 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935251 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.935261 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935270 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935280 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.935290 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935300 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.935310 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935319 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.935329 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935339 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.attempted_sign:0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935349 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.935358 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.935368 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935378 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.935388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935398 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935412 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.935422 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935432 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935443 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935452 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.935463 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935472 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935482 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935492 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.935502 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935511 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935521 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.935531 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935541 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.935551 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935560 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.935570 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935580 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.attempted_speech:0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935590 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.935599 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.935609 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935619 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.935629 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935639 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935653 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.935664 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935675 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935686 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935695 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.935705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935715 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935725 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935734 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.935744 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935754 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935764 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.935773 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935783 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.935793 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935802 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.935812 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935822 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.completed:0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935831 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.935841 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.935851 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935860 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.935870 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935880 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935890 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.935900 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935910 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935920 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935934 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.935944 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.935954 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.935963 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.935973 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.935983 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.935993 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936002 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.936012 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936022 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.936032 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936041 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.936051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936061 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.completed_sign:0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936071 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.936081 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.936091 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936100 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.936110 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936120 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936130 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.936140 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936150 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936161 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936170 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.936181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936200 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936210 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.936223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936233 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936243 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.936253 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936262 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.936272 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936282 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.936292 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936302 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.completed_speech:0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936311 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.936321 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.936331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.936351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.936381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936401 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936411 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.936421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936441 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936450 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.936460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936470 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936479 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.936489 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936499 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.936509 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936519 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.936529 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936538 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.stopped:0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936552 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.936562 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.936572 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936582 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.936592 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936602 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936612 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.936622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936642 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936652 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.936662 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936672 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936682 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936692 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.936702 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936711 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936721 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.936731 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936741 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.936750 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936760 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.936770 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936780 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.stopped_sign:0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936790 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.936800 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.936809 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936819 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.936829 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936839 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936849 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.936861 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936882 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936892 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.936902 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.936912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.936922 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936931 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.936941 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.936951 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936960 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.936973 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.936983 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.936993 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937002 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.937012 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937022 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.stopped_speech:0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937032 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.937042 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.937052 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937061 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.937071 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937081 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937091 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.937101 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.937111 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.937121 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937131 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.937141 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.937151 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937160 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937170 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.937180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.937189 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937199 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.937209 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937218 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.937228 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937238 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.937247 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937257 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.no_channel:0|c 05:48:58.937267 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.937277 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.937286 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.937296 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.937305 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937315 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.937325 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.937335 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.937345 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.937355 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.937365 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.937375 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.937384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937394 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.937404 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.937413 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.937423 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.937432 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.937442 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.937452 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.937462 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.937471 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.937481 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.937490 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.937500 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.937516 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.937526 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.937535 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.937545 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.937555 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel", val := 0, mtype := "c", srate := omit } } 05:48:58.937629 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel", val := 0, mtype := "c", srate := omit } } } id 21 05:48:58.937653 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.937697 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel", val := 0, mtype := "c", srate := omit } } } id 21 05:48:58.937718 27 StatsD_Checker.ttcn:199 Message with id 21 was extracted from the queue of STATS. 05:48:58.937835 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E61737369676E6D656E742E6E6F5F6368616E6E656C5F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E6E6F5F6368616E6E656C5F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E74696D656F75743A307C630A5454434E332E6274732E312E61737369676E6D656E742E74696D656F75745F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E74696D656F75745F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E6661696C65643A307C630A5454434E332E6274732E312E61737369676E6D656E742E6661696C65645F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E6661696C65645F7370656563683A307C630A5454434E332E6274732E312E61737369676E6D656E742E6572726F723A307C630A5454434E332E6274732E312E61737369676E6D656E742E6572726F725F7369676E3A307C630A5454434E332E6274732E312E61737369676E6D656E742E6572726F725F7370656563683A307C630A5454434E332E6274732E312E6C6F636174696F6E5F7570646174652E6163636570743A307C630A5454434E332E6274732E312E6C6F636174696F6E5F7570646174652E72656A6563743A307C630A5454434E332E6274732E312E6C6F636174696F6E5F7570646174652E6465746163683A307C630A5454434E332E6274732E312E6C6F636174696F6E5F7570646174652E756E6B6E6F776E3A307C630A5454434E332E6274732E312E68616E646F7665722E617474656D707465643A307C630A5454434E332E6274732E312E68616E646F7665722E636F6D706C657465643A307C630A5454434E332E6274732E312E68616E646F7665722E73746F707065643A307C630A5454434E332E6274732E312E68616E646F7665722E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E68616E646F7665722E74696D656F75743A307C630A5454434E332E6274732E312E68616E646F7665722E6661696C65643A307C630A5454434E332E6274732E312E68616E646F7665722E6572726F723A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E617474656D707465643A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E636F6D706C657465643A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E73746F707065643A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E6E6F5F6368616E6E656C3A307C63'O ("TTCN3.bts.1.assignment.no_channel_sign:0|c\nTTCN3.bts.1.assignment.no_channel_speech:0|c\nTTCN3.bts.1.assignment.timeout:0|c\nTTCN3.bts.1.assignment.timeout_sign:0|c\nTTCN3.bts.1.assignment.timeout_speech:0|c\nTTCN3.bts.1.assignment.failed:0|c\nTTCN3.bts.1.assignment.failed_sign:0|c\nTTCN3.bts.1.assignment.failed_speech:0|c\nTTCN3.bts.1.assignment.error:0|c\nTTCN3.bts.1.assignment.error_sign:0|c\nTTCN3.bts.1.assignment.error_speech:0|c\nTTCN3.bts.1.location_update.accept:0|c\nTTCN3.bts.1.location_update.reject:0|c\nTTCN3.bts.1.location_update.detach:0|c\nTTCN3.bts.1.location_update.unknown:0|c\nTTCN3.bts.1.handover.attempted:0|c\nTTCN3.bts.1.handover.completed:0|c\nTTCN3.bts.1.handover.stopped:0|c\nTTCN3.bts.1.handover.no_channel:0|c\nTTCN3.bts.1.handover.timeout:0|c\nTTCN3.bts.1.handover.failed:0|c\nTTCN3.bts.1.handover.error:0|c\nTTCN3.bts.1.intra_cell_ho.attempted:0|c\nTTCN3.bts.1.intra_cell_ho.completed:0|c\nTTCN3.bts.1.intra_cell_ho.stopped:0|c\nTTCN3.bts.1.intra_cell_ho.no_channel:0|c") } id 22 05:48:58.937859 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.assignment.no_channel_sign:0|c\nTTCN3.bts.1.assignment.no_channel_speech:0|c\nTTCN3.bts.1.assignment.timeout:0|c\nTTCN3.bts.1.assignment.timeout_sign:0|c\nTTCN3.bts.1.assignment.timeout_speech:0|c\nTTCN3.bts.1.assignment.failed:0|c\nTTCN3.bts.1.assignment.failed_sign:0|c\nTTCN3.bts.1.assignment.failed_speech:0|c\nTTCN3.bts.1.assignment.error:0|c\nTTCN3.bts.1.assignment.error_sign:0|c\nTTCN3.bts.1.assignment.error_speech:0|c\nTTCN3.bts.1.location_update.accept:0|c\nTTCN3.bts.1.location_update.reject:0|c\nTTCN3.bts.1.location_update.detach:0|c\nTTCN3.bts.1.location_update.unknown:0|c\nTTCN3.bts.1.handover.attempted:0|c\nTTCN3.bts.1.handover.completed:0|c\nTTCN3.bts.1.handover.stopped:0|c\nTTCN3.bts.1.handover.no_channel:0|c\nTTCN3.bts.1.handover.timeout:0|c\nTTCN3.bts.1.handover.failed:0|c\nTTCN3.bts.1.handover.error:0|c\nTTCN3.bts.1.intra_cell_ho.attempted:0|c\nTTCN3.bts.1.intra_cell_ho.completed:0|c\nTTCN3.bts.1.intra_cell_ho.stopped:0|c\nTTCN3.bts.1.intra_cell_ho.no_channel:0|c" 05:48:58.937893 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.no_channel_sign:0|c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.937907 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.937917 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.937927 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.937937 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.937946 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.937957 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.937967 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.937977 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.937987 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.937998 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938008 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.938018 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938028 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938042 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938052 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.938062 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938071 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938081 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.938091 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938101 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.938111 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938124 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.938135 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938145 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.no_channel_speech:0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938155 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.938165 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.938174 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938184 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.938194 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938204 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938214 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.938225 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938234 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938245 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938259 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.938269 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938279 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938289 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938299 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.938309 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938318 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938328 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.938338 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938348 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.938358 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938372 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.938382 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938392 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.timeout:0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938402 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.938412 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.938422 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938431 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.938441 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938451 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938461 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.938472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938481 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938496 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938506 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.938516 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938526 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938536 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938546 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.938556 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938566 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938576 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.938585 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938595 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.938609 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938619 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.938629 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938639 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.timeout_sign:0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938649 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.938659 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.938669 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938679 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.938689 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938699 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938709 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.938723 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938733 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938744 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938754 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.938764 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938774 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938784 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938794 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.938804 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938813 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938823 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.938833 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.938857 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938867 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.938877 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938887 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.timeout_speech:0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938897 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.938907 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.938917 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938927 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.938936 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.938947 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.938957 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.938967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.938977 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.938987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939001 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.939012 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939022 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939031 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939041 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.939051 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939061 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939071 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.939081 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939091 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.939101 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939115 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.939125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939135 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.failed:0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939145 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.939155 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.939164 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939174 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.939184 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939195 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939205 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.939215 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939225 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939236 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939249 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.939260 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939280 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939290 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.939300 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939309 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939319 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.939329 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939339 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.939349 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939359 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.939369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939379 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.failed_sign:0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939395 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.939406 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.939415 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939425 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.939435 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939446 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939456 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.939466 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939476 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939487 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939497 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.939508 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939517 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939527 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939541 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.939551 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939561 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939571 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.939581 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939591 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.939601 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939610 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.939621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939630 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.failed_speech:0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939640 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.939650 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.939660 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939673 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.939684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939694 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939704 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.939714 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939724 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939734 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939744 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.939755 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939764 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939774 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939784 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.939794 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939804 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939817 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.939827 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939837 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.939847 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939857 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.939867 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939877 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.error:0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939887 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.939897 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.939907 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939917 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.939927 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.939937 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939951 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.939961 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.939971 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.939982 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.939991 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.940002 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940012 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940021 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940031 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.940041 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940051 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940061 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.940071 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940081 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.940091 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940105 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.940115 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940125 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.error_sign:0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940135 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.940145 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.940155 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940164 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.940174 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940185 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940194 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.940205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940225 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940235 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.940246 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940255 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940265 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940279 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.940289 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940299 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940309 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.940319 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940329 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.940339 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940349 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.940359 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940369 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.assignment.error_speech:0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940379 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.940389 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.940398 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940408 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.940418 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940428 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940442 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.940453 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940463 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940473 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940483 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.940494 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940503 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940513 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940523 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.940533 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940543 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940553 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.940563 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940573 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.940583 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940596 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.940607 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940617 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.location_update.accept:0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940627 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.940636 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.940646 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940657 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.940666 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940677 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940687 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.940697 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940707 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940718 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.940738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940748 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940758 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940768 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.940777 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940787 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940801 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.940811 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940821 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.940831 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940841 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.940851 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940861 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.location_update.reject:0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940871 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.940881 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.940890 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940900 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.940910 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.940920 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940930 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.940941 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940951 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.940961 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.940976 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.940987 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.940996 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941006 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941016 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.941026 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941036 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941046 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.941056 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941066 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.941076 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941086 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.941096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941106 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.location_update.detach:0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941116 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.941126 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.941136 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941149 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.941159 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941170 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941180 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.941190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941200 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941211 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941220 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.941231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941240 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941250 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941260 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.941269 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941279 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941289 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.941299 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941308 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.941318 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941328 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.941338 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941347 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.location_update.unknown:0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941361 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.941371 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.941381 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941391 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.941400 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941410 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941420 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.941430 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941440 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941450 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941460 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.941470 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941480 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941490 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941499 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.941509 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941519 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941529 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.941538 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941548 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.941562 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941571 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.941581 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941591 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.attempted:0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941601 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.941611 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.941620 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941630 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.941640 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941650 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941659 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.941670 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941679 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941690 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941699 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.941714 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941724 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941734 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941743 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.941753 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941763 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941772 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.941782 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941796 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.941806 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941815 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.941825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941835 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.completed:0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941844 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.941854 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.941864 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941874 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.941883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941893 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941903 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.941913 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941923 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.941933 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941943 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.941953 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.941963 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.941973 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.941982 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.941992 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942002 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942011 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.942026 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942036 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.942045 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942055 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.942065 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942075 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.stopped:0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942085 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.942095 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.942104 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942114 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.942124 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942134 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942143 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.942154 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942163 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942174 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942184 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.942194 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942213 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942223 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.942233 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942242 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942252 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.942262 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942276 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.942286 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942295 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.942305 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942315 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.no_channel:0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942325 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.942334 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.942344 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942354 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.942363 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942374 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942383 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.942393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942403 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942413 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942423 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.942433 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942453 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942462 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.942472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942482 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942491 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.942501 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942511 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.942521 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942534 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.942545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942555 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.timeout:0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942564 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.942574 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.942584 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942594 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.942604 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942614 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942623 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.942634 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942644 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942654 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942664 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.942674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942694 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942703 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.942713 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942723 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942733 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.942743 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942752 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.942762 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942772 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.942782 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942792 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.failed:0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942801 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.942811 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.942825 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942835 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.942845 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942855 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942864 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.942875 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942884 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942895 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942905 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.942915 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.942924 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.942934 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942944 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.942954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.942963 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942973 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.942983 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.942992 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.943002 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943012 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.943022 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943031 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.handover.error:0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943041 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.943051 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.943060 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943070 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.943080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943090 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943099 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.943110 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943119 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943130 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943143 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.943154 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943163 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943173 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943183 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.943193 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943202 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943212 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.943222 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943231 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.943241 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943251 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.943261 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943271 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.attempted:0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943280 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.943290 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.943300 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943309 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.943319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943329 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943339 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.943349 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943359 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943369 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943379 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.943389 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943399 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943409 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943418 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.943428 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943438 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943448 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.943457 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943467 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.943477 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943486 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.943496 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943506 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.completed:0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943520 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.943530 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.943539 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943549 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.943559 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943569 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943578 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.943589 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943598 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943609 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943618 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.943629 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943648 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943658 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.943668 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943677 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943687 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.943697 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943706 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.943716 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943726 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.943736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943746 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.stopped:0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943756 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.943766 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.943775 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943785 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.943795 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943805 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943815 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.943825 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943835 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943845 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943855 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.943865 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.943875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943885 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943894 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.943904 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.943914 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943923 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.943933 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943943 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.943952 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943962 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.943972 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.943982 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.no_channel:0|c 05:48:58.943995 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.944005 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.944015 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.944024 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.944034 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944044 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.944053 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.944064 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.944073 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.944084 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.944093 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.944103 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.944113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944123 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.944132 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.944142 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.944152 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.944161 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.944171 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.944180 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.944190 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.944200 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.944210 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.944220 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.944229 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.944239 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.944249 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.944259 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.944268 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.944278 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit } } 05:48:58.944364 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit } } } id 22 05:48:58.944384 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.944424 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit } } } id 22 05:48:58.944445 27 StatsD_Checker.ttcn:199 Message with id 22 was extracted from the queue of STATS. 05:48:58.944566 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E696E7472615F63656C6C5F686F2E74696D656F75743A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E6661696C65643A307C630A5454434E332E6274732E312E696E7472615F63656C6C5F686F2E6572726F723A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E312E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E312E696E636F6D696E675F696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E74696D656F75743A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E617474656D707465643A307C63'O ("TTCN3.bts.1.intra_cell_ho.timeout:0|c\nTTCN3.bts.1.intra_cell_ho.failed:0|c\nTTCN3.bts.1.intra_cell_ho.error:0|c\nTTCN3.bts.1.intra_bsc_ho.attempted:0|c\nTTCN3.bts.1.intra_bsc_ho.completed:0|c\nTTCN3.bts.1.intra_bsc_ho.stopped:0|c\nTTCN3.bts.1.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.1.intra_bsc_ho.timeout:0|c\nTTCN3.bts.1.intra_bsc_ho.failed:0|c\nTTCN3.bts.1.intra_bsc_ho.error:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.1.interbsc_ho_out.attempted:0|c\nTTCN3.bts.1.interbsc_ho_out.completed:0|c\nTTCN3.bts.1.interbsc_ho_out.stopped:0|c\nTTCN3.bts.1.interbsc_ho_out.timeout:0|c\nTTCN3.bts.1.interbsc_ho_out.failed:0|c\nTTCN3.bts.1.interbsc_ho_out.error:0|c\nTTCN3.bts.1.interbsc_ho_in.attempted:0|c") } id 23 05:48:58.944590 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.intra_cell_ho.timeout:0|c\nTTCN3.bts.1.intra_cell_ho.failed:0|c\nTTCN3.bts.1.intra_cell_ho.error:0|c\nTTCN3.bts.1.intra_bsc_ho.attempted:0|c\nTTCN3.bts.1.intra_bsc_ho.completed:0|c\nTTCN3.bts.1.intra_bsc_ho.stopped:0|c\nTTCN3.bts.1.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.1.intra_bsc_ho.timeout:0|c\nTTCN3.bts.1.intra_bsc_ho.failed:0|c\nTTCN3.bts.1.intra_bsc_ho.error:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.1.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.1.interbsc_ho_out.attempted:0|c\nTTCN3.bts.1.interbsc_ho_out.completed:0|c\nTTCN3.bts.1.interbsc_ho_out.stopped:0|c\nTTCN3.bts.1.interbsc_ho_out.timeout:0|c\nTTCN3.bts.1.interbsc_ho_out.failed:0|c\nTTCN3.bts.1.interbsc_ho_out.error:0|c\nTTCN3.bts.1.interbsc_ho_in.attempted:0|c" 05:48:58.944624 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.timeout:0|c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944634 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.944644 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.944654 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944664 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.944674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944698 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.944709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.944719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.944730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.944750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.944760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944770 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944780 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.944789 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.944799 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.944823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.944843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.944862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.failed:0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944882 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.944892 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.944902 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944915 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.944925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.944935 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.944956 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.944965 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.944976 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.944986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.944996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945015 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945025 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.945035 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945049 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945059 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.945069 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945078 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.945088 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945098 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.945108 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945118 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_cell_ho.error:0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945133 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.945143 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.945153 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945163 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.945172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945183 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945192 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.945203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945212 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945223 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945233 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.945243 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945253 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945262 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.945286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945296 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945306 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.945315 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945325 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.945335 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945345 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.945354 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945364 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.attempted:0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945378 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.945388 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.945398 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945408 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.945417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945427 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945437 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.945448 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945457 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945468 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945477 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.945488 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945497 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945507 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945521 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.945531 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945540 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945550 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.945560 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945570 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.945580 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945589 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.945599 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945609 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.completed:0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945634 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.945644 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.945654 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945664 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.945674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945684 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945693 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.945714 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945725 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945736 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945746 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.945756 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945766 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945776 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945790 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.945800 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945809 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945819 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.945829 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945839 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.945849 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945858 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.945868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945878 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.stopped:0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945892 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.945901 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.945911 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945921 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.945931 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.945941 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945950 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.945961 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.945970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.945981 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.945991 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.946001 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946011 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946020 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946030 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.946044 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946054 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946064 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.946073 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946083 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.946093 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946103 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.946113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946122 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.no_channel:0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946132 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.946142 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.946152 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946165 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.946175 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946185 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946195 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.946205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946225 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946235 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.946245 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946255 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946265 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946274 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.946284 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946294 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946308 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.946318 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946327 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.946337 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946347 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.946357 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946367 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.timeout:0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.946386 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.946396 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946406 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.946416 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946426 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946439 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.946450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946459 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946470 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946480 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.946490 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946500 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946509 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946519 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.946529 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946539 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946549 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.946558 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946568 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.946578 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946592 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.946602 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946612 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.failed:0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946622 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.946631 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.946641 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946651 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.946661 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946671 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946681 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.946691 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946700 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946711 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946721 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.946735 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946745 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946755 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946764 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.946774 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946784 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946794 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.946804 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946813 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.946823 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946833 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.946843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946852 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.intra_bsc_ho.error:0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946862 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.946876 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.946886 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946895 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.946905 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946915 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946925 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.946935 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946945 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.946956 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.946965 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.946976 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.946985 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.946995 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947005 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.947014 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947024 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947038 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.947048 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947058 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.947067 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947077 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.947087 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947097 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947107 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.947116 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.947126 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947136 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.947146 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947156 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947165 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.947176 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947185 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947196 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947211 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.947222 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947232 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947241 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947251 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.947261 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947270 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947280 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.947290 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947300 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.947310 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947319 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.947329 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947339 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947353 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.947363 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.947372 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947382 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.947392 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947402 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947411 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.947422 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947431 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947442 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947452 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.947462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947472 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947481 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947491 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.947501 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947511 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947520 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.947530 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947544 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.947554 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947564 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.947574 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947584 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947594 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.947604 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.947613 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947623 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.947633 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947643 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947653 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.947664 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947675 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947686 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947699 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.947709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947719 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947729 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947742 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.947752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947762 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947772 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.947782 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947792 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.947801 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947811 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.947821 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947831 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947841 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.947851 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.947861 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947870 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.947880 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947891 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947900 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.947915 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947924 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.947935 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947945 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.947955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.947965 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.947975 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.947984 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.947994 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948004 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948014 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.948023 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948033 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.948043 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948053 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.948063 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948072 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948082 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.948092 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.948102 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948115 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.948125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948135 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948145 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.948155 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948165 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948175 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948185 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.948195 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948205 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948215 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948224 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.948234 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948244 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948254 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.948263 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948273 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.948283 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.948302 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948312 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948322 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.948335 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.948345 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948355 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.948365 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948375 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948384 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.948395 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948404 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948415 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948424 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.948435 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948444 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948454 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948464 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.948474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948483 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948493 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.948503 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948512 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.948522 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948532 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.948542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948551 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.incoming_intra_bsc_ho.error:0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948565 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.948575 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.948585 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948594 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.948604 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948614 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948624 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.948634 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948644 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948654 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948664 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.948674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948694 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948703 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.948713 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948723 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948733 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.948742 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948752 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.948762 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948771 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.948781 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948791 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.attempted:0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948801 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.948811 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.948821 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948834 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.948844 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948855 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948864 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.948875 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948884 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948895 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948905 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.948915 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.948925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.948934 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948944 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.948954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.948964 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948973 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.948983 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.948993 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.949003 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949013 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.949023 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949033 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.completed:0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949042 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.949052 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.949062 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949072 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.949081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949092 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949101 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.949112 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949126 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949136 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949146 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.949156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949166 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949176 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949186 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.949195 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949205 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949215 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.949225 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949235 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.949244 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949254 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.949264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949274 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.stopped:0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949284 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.949294 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.949303 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949313 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.949323 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949333 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949343 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.949353 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949363 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949373 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949383 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.949393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949413 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949422 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.949432 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949442 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949456 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.949465 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949475 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.949485 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949495 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.949505 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949515 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.timeout:0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949525 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.949535 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.949544 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949554 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.949564 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949574 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949583 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.949596 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949607 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949617 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949627 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.949638 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949658 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949667 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.949677 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949687 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949697 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.949711 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949721 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.949731 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949741 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.949751 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949761 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.failed:0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949770 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.949780 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.949790 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949799 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.949809 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949819 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949829 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.949839 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949853 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949864 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949874 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.949884 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.949894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.949903 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949913 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.949923 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.949932 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949942 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.949952 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949961 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.949971 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.949981 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.949991 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950001 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_out.error:0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950010 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.950020 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.950030 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950039 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.950049 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950059 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950069 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.950079 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.950089 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.950099 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950109 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.950119 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.950129 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950138 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950148 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.950158 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.950167 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950177 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.950187 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950197 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.950206 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950216 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.950226 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950235 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.attempted:0|c 05:48:58.950245 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.950255 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.950265 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.950274 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.950284 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950294 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.950304 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.950314 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.950324 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.950334 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.950344 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.950358 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.950368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950377 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.950387 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.950397 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.950406 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.950416 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.950425 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.950435 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.950445 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.950455 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.950464 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.950474 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.950484 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.950494 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.950504 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.950513 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.950523 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.950533 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit } } 05:48:58.950608 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit } } } id 23 05:48:58.950631 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.950672 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit } } } id 23 05:48:58.950692 27 StatsD_Checker.ttcn:199 Message with id 23 was extracted from the queue of STATS. 05:48:58.950812 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E6661696C65643A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6274732E312E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6274732E312E73727663632E617474656D707465643A307C630A5454434E332E6274732E312E73727663632E636F6D706C657465643A307C630A5454434E332E6274732E312E73727663632E73746F707065643A307C630A5454434E332E6274732E312E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E312E73727663632E74696D656F75743A307C630A5454434E332E6274732E312E73727663632E6661696C65643A307C630A5454434E332E6274732E312E73727663632E6572726F723A307C630A5454434E332E6274732E312E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6274732E312E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6274732E312E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6274732E312E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6274732E312E636D5F736572765F72656A3A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F686C723A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696C6C6567616C5F6D733A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F766C723A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696D65695F6E6F745F61636365707465643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696C6C6567616C5F6D653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E706C6D6E5F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E6C6F635F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E726F616D696E675F6E6F745F616C6C6F7765643A307C63'O ("TTCN3.bts.1.interbsc_ho_in.completed:0|c\nTTCN3.bts.1.interbsc_ho_in.stopped:0|c\nTTCN3.bts.1.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.1.interbsc_ho_in.failed:0|c\nTTCN3.bts.1.interbsc_ho_in.timeout:0|c\nTTCN3.bts.1.interbsc_ho_in.error:0|c\nTTCN3.bts.1.srvcc.attempted:0|c\nTTCN3.bts.1.srvcc.completed:0|c\nTTCN3.bts.1.srvcc.stopped:0|c\nTTCN3.bts.1.srvcc.no_channel:0|c\nTTCN3.bts.1.srvcc.timeout:0|c\nTTCN3.bts.1.srvcc.failed:0|c\nTTCN3.bts.1.srvcc.error:0|c\nTTCN3.bts.1.all_allocated.sdcch:0|c\nTTCN3.bts.1.all_allocated.static_sdcch:0|c\nTTCN3.bts.1.all_allocated.tch:0|c\nTTCN3.bts.1.all_allocated.static_tch:0|c\nTTCN3.bts.1.cm_serv_rej:0|c\nTTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.1.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.1.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c") } id 24 05:48:58.950832 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.interbsc_ho_in.completed:0|c\nTTCN3.bts.1.interbsc_ho_in.stopped:0|c\nTTCN3.bts.1.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.1.interbsc_ho_in.failed:0|c\nTTCN3.bts.1.interbsc_ho_in.timeout:0|c\nTTCN3.bts.1.interbsc_ho_in.error:0|c\nTTCN3.bts.1.srvcc.attempted:0|c\nTTCN3.bts.1.srvcc.completed:0|c\nTTCN3.bts.1.srvcc.stopped:0|c\nTTCN3.bts.1.srvcc.no_channel:0|c\nTTCN3.bts.1.srvcc.timeout:0|c\nTTCN3.bts.1.srvcc.failed:0|c\nTTCN3.bts.1.srvcc.error:0|c\nTTCN3.bts.1.all_allocated.sdcch:0|c\nTTCN3.bts.1.all_allocated.static_sdcch:0|c\nTTCN3.bts.1.all_allocated.tch:0|c\nTTCN3.bts.1.all_allocated.static_tch:0|c\nTTCN3.bts.1.cm_serv_rej:0|c\nTTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.1.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.1.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c" 05:48:58.950872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.completed:0|c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.950883 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.950893 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.950902 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.950912 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.950922 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.950933 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.950942 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.950953 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.950963 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.950978 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.950988 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.950999 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951008 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951018 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951028 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.951038 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951047 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951057 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.951067 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951082 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.951092 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951102 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.951112 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951122 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.stopped:0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951131 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.951141 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.951151 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951161 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.951170 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951181 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951194 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.951205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951214 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951225 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951235 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.951245 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951255 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951265 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951275 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.951285 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951294 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951308 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.951318 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951328 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.951338 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951347 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.951357 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951367 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.no_channel:0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.951387 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.951396 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951410 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.951420 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951430 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951439 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.951450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951470 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951480 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.951490 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951500 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951510 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951519 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.951529 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951539 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951553 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.951563 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951573 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.951583 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951592 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.951602 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951612 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.failed:0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951622 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.951632 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.951641 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951655 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.951665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951675 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951685 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.951695 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951705 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951715 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951725 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.951735 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951745 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951755 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951764 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.951774 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951784 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951798 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.951808 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951818 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.951828 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951837 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.951847 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951857 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.timeout:0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951867 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.951877 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.951886 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951900 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.951910 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.951920 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951930 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.951940 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951950 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.951961 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.951970 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.951981 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.951990 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952000 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952010 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.952020 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952029 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952043 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.952053 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952063 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.952073 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952083 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.952093 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952102 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.interbsc_ho_in.error:0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952112 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.952122 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.952132 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952142 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.952155 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952166 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952176 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.952186 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952206 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952216 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.952227 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952236 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952246 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952256 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.952266 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952276 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952286 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.952295 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952309 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.952319 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952329 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.952339 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952349 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.attempted:0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952359 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.952369 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.952379 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952388 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.952398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952409 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952422 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.952433 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952443 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952453 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952463 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.952474 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952483 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952493 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952503 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.952513 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952523 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952532 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.952542 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952552 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.952562 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952576 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.952586 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952596 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.completed:0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952606 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.952616 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.952626 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952636 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.952646 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952656 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952666 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.952676 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952686 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952697 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952712 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.952723 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952733 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952743 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952753 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.952763 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952772 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952782 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.952792 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952802 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.952812 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952822 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.952832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952842 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.stopped:0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952856 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.952866 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.952876 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952886 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.952896 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952906 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952916 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.952926 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952936 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.952947 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952957 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.952967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.952977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.952987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.952997 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.953011 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953021 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953031 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.953041 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953051 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.953061 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953070 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.953081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953091 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.no_channel:0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953100 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.953110 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.953120 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953130 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.953144 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953155 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953164 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.953175 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953185 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953196 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953206 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.953216 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953226 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953236 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953246 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.953256 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953265 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953275 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.953285 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953299 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.953309 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953319 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.953329 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953339 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.timeout:0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953349 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.953359 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.953369 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953379 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.953389 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953399 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953409 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.953420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953429 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953440 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953450 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.953465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953475 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953485 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953495 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.953505 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953514 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953524 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.953534 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953544 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.953554 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953564 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.953574 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953584 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.failed:0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953594 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.953604 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.953614 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953628 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.953638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953648 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953658 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.953669 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953679 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953689 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953699 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.953717 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953727 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953737 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953747 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.953757 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953767 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953777 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.953786 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953801 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.953811 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953821 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.953831 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953841 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.srvcc.error:0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953850 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.953860 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.953870 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953880 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.953890 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953900 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953910 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.953921 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953930 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.953941 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953951 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.953961 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.953976 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.953986 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.953996 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.954006 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954016 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954026 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.954035 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954045 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.954055 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954065 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.954075 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954085 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.all_allocated.sdcch:0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.954105 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.954115 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954128 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.954139 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954149 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954159 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.954169 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954179 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954189 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954199 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.954210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954229 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954240 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.954249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954259 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.954279 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.954299 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954313 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.954323 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954333 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.all_allocated.static_sdcch:0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954343 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.954353 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.954363 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954373 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.954383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954393 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954403 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.954413 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954423 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954433 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954443 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.954454 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954463 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954473 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954483 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.954493 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954503 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954517 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.954527 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954537 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.954547 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954557 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.954567 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954577 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.all_allocated.tch:0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954587 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.954597 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.954606 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954616 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.954626 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954637 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954646 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.954657 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954667 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954677 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954687 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.954697 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954721 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954730 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.954740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954750 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954760 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.954769 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954779 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.954789 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954799 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.954808 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954818 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.all_allocated.static_tch:0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954828 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.954838 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.954848 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954857 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.954867 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954877 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954887 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.954897 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954911 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954921 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954931 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.954941 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.954951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.954961 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.954970 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.954980 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.954990 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955000 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.955009 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955019 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.955029 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955038 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.955048 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955058 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955067 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.955077 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.955087 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955096 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.955106 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955116 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955130 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.955140 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955150 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955160 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955170 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.955180 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955200 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955209 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.955219 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955229 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955238 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.955248 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955258 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.955267 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955277 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.955287 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955297 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955306 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.955316 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.955326 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.955351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.955381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955401 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955411 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.955421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955441 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955450 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.955460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955470 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955479 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.955489 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955499 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.955508 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955518 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.955528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955538 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.illegal_ms:0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955547 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.955557 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.955567 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955576 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.955590 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955600 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955610 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.955620 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955630 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955640 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955650 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.955660 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955670 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955679 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955689 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.955699 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955711 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955721 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.955733 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955744 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.955755 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955765 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.955775 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955785 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955794 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.955804 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.955814 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955824 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.955833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955844 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955853 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.955864 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955876 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955887 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955896 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.955907 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.955916 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.955926 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955936 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.955946 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.955955 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955965 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.955975 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.955985 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.955995 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956004 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.956014 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956024 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956034 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.956044 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.956054 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956064 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.956074 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956084 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956093 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.956104 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956114 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956124 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956134 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.956145 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956164 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956174 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.956188 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956198 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956208 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.956218 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956227 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.956237 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956247 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.956257 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956267 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.illegal_me:0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956277 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.956287 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.956296 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956306 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.956316 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956326 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956336 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.956346 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956356 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956366 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956376 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.956386 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956396 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956406 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956416 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.956426 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956435 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956445 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.956455 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956464 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.956474 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956484 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.956494 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956503 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956513 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.956523 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.956533 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956546 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.956556 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956566 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956576 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.956586 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956596 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956607 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956616 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.956627 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956636 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956646 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956656 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.956666 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956676 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956688 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.956699 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956710 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.956720 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956730 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.956740 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956750 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956759 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.956769 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.956779 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956788 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.956798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956808 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956818 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.956828 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956838 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956849 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956858 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.956869 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.956878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956888 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956898 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.956908 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.956917 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956927 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.956937 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956946 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.956956 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956966 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.956976 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.956986 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.roaming_not_allowed:0|c 05:48:58.956996 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.957010 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.957020 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.957030 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.957040 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957050 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.957060 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.957070 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.957080 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.957090 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.957100 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.957110 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.957120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957130 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.957140 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.957150 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.957159 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.957169 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.957179 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.957189 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.957199 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.957209 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.957218 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.957228 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.957238 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.957248 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.957258 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.957268 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.957278 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.957287 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit } } 05:48:58.957374 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit } } } id 24 05:48:58.957394 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.957436 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit } } } id 24 05:48:58.957457 27 StatsD_Checker.ttcn:199 Message with id 24 was extracted from the queue of STATS. 05:48:58.957578 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E636D5F736572765F72656A2E6E6574776F726B5F6661696C7572653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E73796E63685F6661696C7572653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E636F6E67657374696F6E3A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E7271645F7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E7372765F6F70745F746D705F6F75745F6F665F6F726465723A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E63616C6C5F63616E5F6E6F745F62655F6964656E7469666965643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696E636F72726563745F6D6573736167653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696E76616C69645F6D616E64616E746F72795F696E663A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E6D73675F747970655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E6D73675F747970655F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E696E665F656C656D655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E636F6E6474696F6E616C5F69655F6572726F723A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E6D73675F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E70726F746F636F6C5F6572726F723A307C630A5454434E332E6274732E312E636D5F736572765F72656A2E72657472795F696E5F6E65775F63656C6C3A307C630A5454434E332E6274732E302E63687265712E746F74616C3A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F656D6572673A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F63616C6C3A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F7061673A307C63'O ("TTCN3.bts.1.cm_serv_rej.network_failure:0|c\nTTCN3.bts.1.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.1.cm_serv_rej.congestion:0|c\nTTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.1.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.1.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.0.chreq.total:0|c\nTTCN3.bts.0.chreq.attempted_emerg:0|c\nTTCN3.bts.0.chreq.attempted_call:0|c\nTTCN3.bts.0.chreq.attempted_location_upd:0|c\nTTCN3.bts.0.chreq.attempted_pag:0|c") } id 25 05:48:58.957601 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.cm_serv_rej.network_failure:0|c\nTTCN3.bts.1.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.1.cm_serv_rej.congestion:0|c\nTTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.1.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.1.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bts.0.chreq.total:0|c\nTTCN3.bts.0.chreq.attempted_emerg:0|c\nTTCN3.bts.0.chreq.attempted_call:0|c\nTTCN3.bts.0.chreq.attempted_location_upd:0|c\nTTCN3.bts.0.chreq.attempted_pag:0|c" 05:48:58.957636 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.network_failure:0|c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957646 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.957656 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.957666 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957676 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.957686 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957697 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957725 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.957737 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.957746 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.957757 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957767 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.957778 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.957787 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957797 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957807 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.957817 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.957827 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957836 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.957850 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.957870 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957880 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.957890 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957900 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.synch_failure:0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957910 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.957920 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.957930 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957943 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.957953 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.957963 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.957973 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.957983 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.957993 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958004 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958013 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.958024 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958033 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958043 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958053 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.958063 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958073 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958086 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.958096 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958106 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.958116 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958126 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.958136 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958146 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.congestion:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958155 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.958165 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.958175 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958188 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.958198 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958208 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958218 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.958229 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958238 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958249 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958259 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.958269 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958279 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958288 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958298 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.958308 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958318 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958333 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.958343 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958353 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.958363 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958373 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.958383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958393 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958402 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.958412 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.958422 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958436 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.958446 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958456 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958466 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.958476 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958486 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958496 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958506 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.958516 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958526 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958536 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958546 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.958555 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958565 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958579 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.958589 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958599 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.958609 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958618 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.958628 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958638 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958648 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.958658 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.958667 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958681 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.958691 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958701 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958711 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.958721 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958731 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958741 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958751 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.958761 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958781 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958791 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.958800 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958810 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958820 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.958830 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958844 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.958854 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.958873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958893 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.958903 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.958912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958922 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.958932 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.958942 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958956 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.958966 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.958976 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.958987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.958996 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.959007 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959016 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959026 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959036 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.959046 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959056 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959065 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.959075 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959085 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.959095 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959108 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.959118 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959128 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959138 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.959148 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.959158 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959167 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.959177 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959187 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959197 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.959207 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959217 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959228 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959237 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.959248 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959257 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959267 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959281 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.959291 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959300 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959310 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.959320 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959330 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.959340 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959349 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.959359 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959369 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.incorrect_message:0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959379 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.959389 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.959399 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959412 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.959422 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959432 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959442 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.959452 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959462 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959472 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959482 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.959492 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959502 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959512 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959522 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.959532 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959541 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959551 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.959561 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959575 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.959585 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959595 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.959605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959615 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959625 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.959635 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.959644 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.959664 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959674 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959684 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.959695 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959704 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959715 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959725 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.959735 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959745 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959755 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959769 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.959779 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959788 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959798 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.959808 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959818 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.959828 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959838 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.959848 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959858 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959867 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.959877 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.959887 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959897 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.959907 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.959917 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959931 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.959941 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959951 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.959962 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.959972 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.959982 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.959992 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960001 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960011 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.960021 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960031 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960041 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.960051 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960061 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.960070 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960080 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.960090 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960100 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960114 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.960124 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.960134 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960144 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.960154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960164 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960174 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.960184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960205 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960214 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.960225 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960234 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960244 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960254 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.960264 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960273 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960283 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.960293 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960307 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.960317 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960326 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.960336 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960346 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960356 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.960365 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:58.960375 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960385 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.960394 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960405 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960414 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.960424 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960434 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960445 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960454 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.960465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960474 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960484 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960493 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.960503 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960513 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960527 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.960536 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960546 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.960556 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960565 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.960575 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960585 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960595 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.960605 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.960614 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960624 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.960633 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960644 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960653 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.960663 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960673 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960684 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960693 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.960703 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960713 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960723 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960732 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.960742 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960752 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960768 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.960777 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960787 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.960797 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960806 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.960816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960826 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960836 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.960846 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.960855 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960865 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.960875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960894 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.960905 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960914 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960925 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960934 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.960945 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.960954 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.960964 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.960974 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.960984 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.960993 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961007 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.961017 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961026 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.961036 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961046 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.961056 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961065 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.protocol_error:0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961075 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.961085 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.961095 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961104 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.961114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961124 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961134 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.961144 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961153 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961164 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961174 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.961184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961193 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961203 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961213 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.961223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961232 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961242 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.961252 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961261 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.961271 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961285 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.961295 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961304 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961314 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.961324 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.961333 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961343 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.961353 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961363 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961373 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.961383 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961393 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961403 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961413 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.961423 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961432 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961442 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961452 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.961461 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961471 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961481 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.961491 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961500 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.961510 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961519 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.961529 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961539 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.total:0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961549 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.961559 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.961568 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961578 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.961588 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961598 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961611 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.961622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961631 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961642 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961651 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.961661 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961671 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961681 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961690 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.961700 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961715 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961724 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.961734 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961744 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.961754 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961764 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.961774 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961784 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_emerg:0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961793 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.961803 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.961813 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961823 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.961832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961843 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961852 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.961863 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961883 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961893 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.961903 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.961914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.961926 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961936 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.961948 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.961960 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961970 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.961980 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.961994 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.962004 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962014 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.962024 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962034 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_call:0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962043 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.962053 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.962063 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962073 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.962083 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962093 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962103 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.962113 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962123 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.962135 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962145 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.962155 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962165 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962174 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962184 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.962194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.962204 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962213 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.962223 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962233 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.962243 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962253 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.962263 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962273 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_location_upd:0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962282 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.962292 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.962302 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962312 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.962322 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962332 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962342 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.962352 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962362 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.962373 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962382 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.962393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962412 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962422 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.962432 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.962442 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962456 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.962465 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962475 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.962485 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962495 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.962505 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962515 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_pag:0|c 05:48:58.962525 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.962535 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.962545 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.962554 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.962564 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962574 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.962584 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.962594 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.962615 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.962625 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.962637 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.962648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.962658 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.962667 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.962677 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.962687 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.962697 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.962707 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.962716 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.962726 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.962736 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.962746 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.962756 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.962765 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.962775 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.962785 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.962795 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.962805 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.962815 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_pag", val := 0, mtype := "c", srate := omit } } 05:48:58.962892 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_pag", val := 0, mtype := "c", srate := omit } } } id 25 05:48:58.962911 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.962949 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.1.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_pag", val := 0, mtype := "c", srate := omit } } } id 25 05:48:58.962970 27 StatsD_Checker.ttcn:199 Message with id 25 was extracted from the queue of STATS. 05:48:58.963085 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E63687265712E617474656D707465645F706463683A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F6F746865723A307C630A5454434E332E6274732E302E63687265712E617474656D707465645F756E6B6E6F776E3A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C3A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F656D6572673A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F63616C6C3A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F7061673A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F706463683A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F6F746865723A307C630A5454434E332E6274732E302E63687265712E7375636365737366756C5F756E6B6E6F776E3A307C630A5454434E332E6274732E302E63687265712E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E63687265712E6D61785F64656C61795F65786365656465643A307C630A5454434E332E6274732E302E6368616E2E72665F6661696C3A307C630A5454434E332E6274732E302E6368616E2E72665F6661696C5F7463683A307C630A5454434E332E6274732E302E6368616E2E72665F6661696C5F73646363683A307C630A5454434E332E6274732E302E6368616E2E726C6C5F6572723A307C630A5454434E332E6274732E302E6F6D6C5F6661696C3A307C630A5454434E332E6274732E302E72736C5F6661696C3A307C630A5454434E332E6274732E302E636F6465632E616D725F663A307C630A5454434E332E6274732E302E636F6465632E616D725F683A307C630A5454434E332E6274732E302E636F6465632E6566723A307C630A5454434E332E6274732E302E636F6465632E66723A307C630A5454434E332E6274732E302E636F6465632E68723A307C630A5454434E332E6274732E302E706167696E672E617474656D707465643A307C630A5454434E332E6274732E302E706167696E672E616C72656164793A307C630A5454434E332E6274732E302E706167696E672E726573706F6E6465643A307C630A5454434E332E6274732E302E706167696E672E657870697265643A307C630A5454434E332E6274732E302E706167696E672E6E6F5F6163746976655F706167696E673A307C63'O ("TTCN3.bts.0.chreq.attempted_pdch:0|c\nTTCN3.bts.0.chreq.attempted_other:0|c\nTTCN3.bts.0.chreq.attempted_unknown:0|c\nTTCN3.bts.0.chreq.successful:0|c\nTTCN3.bts.0.chreq.successful_emerg:0|c\nTTCN3.bts.0.chreq.successful_call:0|c\nTTCN3.bts.0.chreq.successful_location_upd:0|c\nTTCN3.bts.0.chreq.successful_pag:0|c\nTTCN3.bts.0.chreq.successful_pdch:0|c\nTTCN3.bts.0.chreq.successful_other:0|c\nTTCN3.bts.0.chreq.successful_unknown:0|c\nTTCN3.bts.0.chreq.no_channel:0|c\nTTCN3.bts.0.chreq.max_delay_exceeded:0|c\nTTCN3.bts.0.chan.rf_fail:0|c\nTTCN3.bts.0.chan.rf_fail_tch:0|c\nTTCN3.bts.0.chan.rf_fail_sdcch:0|c\nTTCN3.bts.0.chan.rll_err:0|c\nTTCN3.bts.0.oml_fail:0|c\nTTCN3.bts.0.rsl_fail:0|c\nTTCN3.bts.0.codec.amr_f:0|c\nTTCN3.bts.0.codec.amr_h:0|c\nTTCN3.bts.0.codec.efr:0|c\nTTCN3.bts.0.codec.fr:0|c\nTTCN3.bts.0.codec.hr:0|c\nTTCN3.bts.0.paging.attempted:0|c\nTTCN3.bts.0.paging.already:0|c\nTTCN3.bts.0.paging.responded:0|c\nTTCN3.bts.0.paging.expired:0|c\nTTCN3.bts.0.paging.no_active_paging:0|c") } id 26 05:48:58.963109 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.chreq.attempted_pdch:0|c\nTTCN3.bts.0.chreq.attempted_other:0|c\nTTCN3.bts.0.chreq.attempted_unknown:0|c\nTTCN3.bts.0.chreq.successful:0|c\nTTCN3.bts.0.chreq.successful_emerg:0|c\nTTCN3.bts.0.chreq.successful_call:0|c\nTTCN3.bts.0.chreq.successful_location_upd:0|c\nTTCN3.bts.0.chreq.successful_pag:0|c\nTTCN3.bts.0.chreq.successful_pdch:0|c\nTTCN3.bts.0.chreq.successful_other:0|c\nTTCN3.bts.0.chreq.successful_unknown:0|c\nTTCN3.bts.0.chreq.no_channel:0|c\nTTCN3.bts.0.chreq.max_delay_exceeded:0|c\nTTCN3.bts.0.chan.rf_fail:0|c\nTTCN3.bts.0.chan.rf_fail_tch:0|c\nTTCN3.bts.0.chan.rf_fail_sdcch:0|c\nTTCN3.bts.0.chan.rll_err:0|c\nTTCN3.bts.0.oml_fail:0|c\nTTCN3.bts.0.rsl_fail:0|c\nTTCN3.bts.0.codec.amr_f:0|c\nTTCN3.bts.0.codec.amr_h:0|c\nTTCN3.bts.0.codec.efr:0|c\nTTCN3.bts.0.codec.fr:0|c\nTTCN3.bts.0.codec.hr:0|c\nTTCN3.bts.0.paging.attempted:0|c\nTTCN3.bts.0.paging.already:0|c\nTTCN3.bts.0.paging.responded:0|c\nTTCN3.bts.0.paging.expired:0|c\nTTCN3.bts.0.paging.no_active_paging:0|c" 05:48:58.963143 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_pdch:0|c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963153 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.963164 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.963173 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963183 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.963193 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963204 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963218 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.963229 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963238 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963249 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963259 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.963270 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963280 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963290 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963299 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.963309 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963319 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963333 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.963343 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963353 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.963363 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963373 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.963383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963393 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_other:0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963403 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.963413 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.963423 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963436 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.963446 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963457 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963466 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.963477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963487 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963497 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963507 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.963517 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963527 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963537 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963547 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.963557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963567 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963580 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.963590 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963600 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.963610 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963620 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.963630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963640 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.attempted_unknown:0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963650 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.963660 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.963669 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963683 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.963693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963703 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963714 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.963726 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963736 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963746 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963756 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.963766 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963776 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963786 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963796 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.963809 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963819 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963829 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.963839 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963849 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.963859 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963868 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.963878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963888 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful:0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963898 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.963913 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.963923 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963933 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.963943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.963953 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.963963 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.963973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.963983 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.963993 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964003 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.964013 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964023 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964033 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964047 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.964057 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964067 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964076 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.964086 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964096 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.964106 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964116 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.964126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964136 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_emerg:0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964146 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.964159 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.964169 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964179 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.964189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964199 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.964219 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964239 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964249 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.964259 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964269 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964279 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964289 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.964299 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964312 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964322 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.964332 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.964352 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964362 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.964372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964382 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_call:0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964392 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.964402 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.964412 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964425 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.964435 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964446 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964455 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.964466 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964476 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964486 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964496 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.964506 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964526 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964536 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.964546 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964555 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964569 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.964579 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964589 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.964599 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964609 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.964619 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964629 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_location_upd:0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964639 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.964649 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.964659 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964669 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.964679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964689 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964703 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.964713 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964734 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964744 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.964754 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964764 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964774 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964784 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.964794 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.964823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964838 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.964848 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964858 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.964868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964878 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_pag:0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964888 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.964898 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.964908 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964918 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.964928 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.964938 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964948 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.964958 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.964968 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.964979 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.964993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.965004 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965023 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965033 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.965043 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965053 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965063 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.965073 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965083 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.965093 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965103 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.965113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965127 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_pdch:0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965137 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.965147 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.965157 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965166 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.965176 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965187 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965197 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.965207 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965217 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965228 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965238 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.965248 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965258 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965268 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965282 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.965292 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965302 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965312 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.965322 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965332 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.965342 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965352 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.965362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965372 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_other:0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965382 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.965392 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.965402 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965412 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.965426 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965436 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965446 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.965456 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965466 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965477 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965487 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.965498 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965508 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965517 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965527 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.965537 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965547 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965557 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.965567 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965577 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.965587 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965601 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.965612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965622 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.successful_unknown:0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965632 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.965642 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.965651 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965661 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.965671 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965682 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965692 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.965702 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965717 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965728 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965738 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.965748 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965758 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965768 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965782 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.965792 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965802 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965812 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.965822 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965832 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.965842 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.965862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.no_channel:0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965882 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.965892 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.965902 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965912 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.965922 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.965932 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965946 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.965956 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.965966 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.965977 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.965987 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.965998 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966008 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966017 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966027 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.966037 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966047 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966057 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.966067 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966077 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.966087 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966097 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.966113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966123 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chreq.max_delay_exceeded:0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966133 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.966143 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.966153 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966163 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.966172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966183 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966193 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.966203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966213 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966224 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966234 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.966244 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966264 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966273 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.966283 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966293 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966307 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.966317 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966327 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.966337 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966347 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.966357 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966367 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan.rf_fail:0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.966387 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.966397 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966406 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.966416 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966427 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966436 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.966447 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966457 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966467 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966477 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.966487 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966497 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966511 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966521 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.966530 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966540 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966550 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.966559 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966569 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.966579 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966589 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.966599 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966608 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan.rf_fail_tch:0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966618 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.966628 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.966637 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966647 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.966657 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966667 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966680 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.966691 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966701 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966714 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966724 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.966736 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966746 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966755 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966765 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.966775 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966784 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966794 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.966804 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966813 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.966823 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966833 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.966842 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966852 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan.rf_fail_sdcch:0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966862 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.966872 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.966881 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966895 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.966905 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966915 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966924 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.966934 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966944 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.966955 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.966964 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.966974 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.966984 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.966994 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967003 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.967013 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967023 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967032 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.967042 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967052 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.967062 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967071 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.967081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967091 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan.rll_err:0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967104 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.967114 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.967124 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967133 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.967143 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967153 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967163 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.967173 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967183 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967193 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967203 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.967213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967222 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967232 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967242 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.967251 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967261 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967271 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.967280 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967290 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.967300 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967309 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.967319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967329 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.oml_fail:0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967342 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.967352 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.967362 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967371 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.967381 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967391 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967401 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.967411 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967421 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967431 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967441 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.967451 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967461 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967470 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967480 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.967490 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967499 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967509 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.967519 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967528 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.967541 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967554 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.967566 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967583 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rsl_fail:0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967593 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.967603 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.967612 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967622 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.967632 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967642 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967652 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.967662 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967672 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967682 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967692 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.967702 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967712 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967721 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967731 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.967741 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967750 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967760 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.967770 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967780 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.967789 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967799 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.967809 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967818 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.codec.amr_f:0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967832 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.967842 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.967851 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967861 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.967871 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967881 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967890 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.967901 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967910 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967921 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967930 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.967941 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.967950 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.967960 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967970 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.967979 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.967989 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.967999 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.968008 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968018 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.968028 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968038 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.968048 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968057 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.codec.amr_h:0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968067 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.968077 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.968090 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968100 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.968110 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968120 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968129 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.968140 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968149 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968160 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968169 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.968180 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968199 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968208 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.968218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968228 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968238 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.968247 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968257 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.968267 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968277 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.968287 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968296 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.codec.efr:0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968306 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.968316 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:58.968326 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968335 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.968345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968356 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968369 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.968380 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968390 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968400 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968410 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.968420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968440 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968450 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.968460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968469 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968479 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.968489 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968499 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.968509 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968518 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.968528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968538 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.codec.fr:0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968548 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.968558 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.968568 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968577 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.968587 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968597 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968607 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.968617 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968627 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968638 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968647 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.968657 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968667 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968677 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968691 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.968701 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968710 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968720 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.968730 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968740 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.968750 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968759 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.968769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968779 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.codec.hr:0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968789 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.968799 27 StatsD_CodecPort.ttcn:36 match_first result: 20 05:48:58.968808 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968818 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.968828 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968838 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968848 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.968858 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968868 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968878 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968888 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.968898 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.968908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.968917 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968927 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.968937 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.968946 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968956 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.968966 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968976 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.968985 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.968999 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.969009 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969019 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.attempted:0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969029 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.969039 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.969049 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969058 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.969068 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969079 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969088 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.969101 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969111 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969122 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969132 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.969142 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969152 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969162 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969171 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.969181 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969191 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969201 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.969211 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969220 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.969230 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969240 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.969250 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969260 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.already:0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969269 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.969279 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.969289 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969299 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.969308 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969318 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969328 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.969338 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969348 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969358 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969368 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.969378 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969392 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969402 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969411 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.969421 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969431 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969441 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.969450 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969460 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.969470 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969479 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.969489 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969499 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.responded:0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969508 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.969518 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.969528 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969537 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.969547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969557 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969567 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.969577 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969587 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969597 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969607 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.969617 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969627 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969636 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969646 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.969656 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969665 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969675 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.969685 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969694 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.969716 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969728 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.969738 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969747 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.expired:0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969757 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.969767 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.969776 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969786 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.969796 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969806 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969815 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.969826 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969836 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969846 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969860 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.969871 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.969880 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969890 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969900 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.969909 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.969919 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969929 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.969938 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969948 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.969958 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969967 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.969977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.969987 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.no_active_paging:0|c 05:48:58.969997 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.970006 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.970016 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.970025 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.970035 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970045 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.970055 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.970065 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.970075 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.970085 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.970095 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.970105 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.970115 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970124 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.970134 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.970144 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.970153 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.970163 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.970172 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.970182 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.970192 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.970201 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.970211 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.970221 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.970230 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.970240 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.970250 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.970259 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.970269 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.970279 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit } } 05:48:58.970366 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit } } } id 26 05:48:58.970390 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.970431 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit } } } id 26 05:48:58.970448 27 StatsD_Checker.ttcn:199 Message with id 26 was extracted from the queue of STATS. 05:48:58.970575 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg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bts.0.paging.msc_flush:0|c\nTTCN3.bts.0.paging.overload:0|c\nTTCN3.bts.0.chan_act.total:0|c\nTTCN3.bts.0.chan_act.sdcch:0|c\nTTCN3.bts.0.chan_act.tch:0|c\nTTCN3.bts.0.chan_act.nack:0|c\nTTCN3.bts.0.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.0.chan_tch.fully_established:0|c\nTTCN3.bts.0.chan_sdcch.fully_established:0|c\nTTCN3.bts.0.rsl.unknown:0|c\nTTCN3.bts.0.rsl.ipa_nack:0|c\nTTCN3.bts.0.rsl.delete_ind:0|c\nTTCN3.bts.0.chan.mode_modify_nack:0|c\nTTCN3.bts.0.lchan_borken.from_state.unused:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.borken:0|c\nTTCN3.bts.0.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.0.lchan_borken.event.vty:0|c\nTTCN3.bts.0.lchan_borken.event.teardown:0|c") } id 27 05:48:58.970599 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.paging.msc_flush:0|c\nTTCN3.bts.0.paging.overload:0|c\nTTCN3.bts.0.chan_act.total:0|c\nTTCN3.bts.0.chan_act.sdcch:0|c\nTTCN3.bts.0.chan_act.tch:0|c\nTTCN3.bts.0.chan_act.nack:0|c\nTTCN3.bts.0.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c\nTTCN3.bts.0.chan_tch.fully_established:0|c\nTTCN3.bts.0.chan_sdcch.fully_established:0|c\nTTCN3.bts.0.rsl.unknown:0|c\nTTCN3.bts.0.rsl.ipa_nack:0|c\nTTCN3.bts.0.rsl.delete_ind:0|c\nTTCN3.bts.0.chan.mode_modify_nack:0|c\nTTCN3.bts.0.lchan_borken.from_state.unused:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.borken:0|c\nTTCN3.bts.0.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.0.lchan_borken.event.vty:0|c\nTTCN3.bts.0.lchan_borken.event.teardown:0|c" 05:48:58.970633 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.msc_flush:0|c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970647 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.970657 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.970667 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970677 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.970686 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970697 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970707 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.970718 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.970727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.970738 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.970758 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.970768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970778 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970792 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.970802 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.970811 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970821 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.970831 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970841 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.970851 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970866 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.970876 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970886 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.overload:0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970895 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.970905 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.970915 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970925 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.970935 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.970945 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970954 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.970965 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.970975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.970985 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.970999 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.971010 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971019 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971029 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971039 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.971049 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971058 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971068 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.971078 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971088 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.971098 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971111 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.971121 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971131 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_act.total:0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971141 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.971151 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.971160 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971170 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.971180 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971190 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971203 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.971214 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971224 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971234 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971244 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.971254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971274 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971283 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.971293 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971303 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971313 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.971323 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971336 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.971346 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971356 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.971366 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971376 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_act.sdcch:0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971386 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.971395 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.971405 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971415 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.971425 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971435 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971448 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.971459 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971468 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971479 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971489 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.971499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971509 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971518 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971528 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.971538 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971548 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971557 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.971567 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971581 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.971591 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971602 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.971615 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971625 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_act.tch:0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971635 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.971645 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.971655 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971665 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.971674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971699 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.971709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.971750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971759 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971769 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971779 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.971789 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971799 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.971823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971832 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.971842 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.971862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_act.nack:0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971881 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.971891 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.971901 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971911 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.971920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.971931 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.971955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.971965 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.971976 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.971985 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.971996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972005 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972015 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972025 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.972035 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972044 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972054 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.972068 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972078 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.972088 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972097 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.972107 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972117 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch.active_milliseconds.total:0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972127 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.972137 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.972146 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972156 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.972166 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972176 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972189 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.972200 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972209 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972220 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972233 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.972246 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972256 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972266 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.972286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972296 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972305 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.972315 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972330 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.972340 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972350 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.972360 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972370 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch.active_milliseconds.total:0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972380 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.972390 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.972399 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972409 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.972419 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972429 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972439 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.972450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972463 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972474 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972484 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.972495 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972504 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972514 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972524 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.972534 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972544 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972554 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.972564 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972573 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.972583 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972597 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.972607 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972617 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch.fully_established:0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972627 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.972637 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.972647 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972657 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.972666 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972677 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972686 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.972697 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972707 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972717 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972727 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.972738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972747 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972757 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972771 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.972781 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972791 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972801 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.972810 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972820 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.972830 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972840 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.972850 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972860 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch.fully_established:0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972870 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.972880 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.972894 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972904 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.972914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.972924 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972934 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.972944 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972954 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.972965 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.972975 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.972985 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.972995 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973005 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973014 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.973024 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973034 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973048 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.973058 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973068 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.973078 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973088 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.973098 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973108 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rsl.unknown:0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973117 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.973127 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.973137 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973147 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.973157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973167 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973177 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.973187 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973197 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973207 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973221 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.973232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973251 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973261 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.973271 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973281 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973291 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.973300 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973310 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.973320 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973330 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.973340 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973350 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rsl.ipa_nack:0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973364 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.973374 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.973384 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973393 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.973403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973414 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973423 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.973434 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973443 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973454 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973464 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.973474 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973484 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973494 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973504 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.973514 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973523 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973537 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.973547 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973557 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.973567 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973577 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.973587 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973597 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rsl.delete_ind:0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973607 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.973616 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.973626 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973636 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.973646 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973656 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973666 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.973676 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973686 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973697 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973716 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.973727 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973746 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973756 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.973766 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973776 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973786 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.973795 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973805 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.973815 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973825 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.973835 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973845 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan.mode_modify_nack:0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.973864 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.973878 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973888 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.973898 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973908 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973918 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.973928 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973938 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.973948 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973958 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.973969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.973978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.973988 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.973998 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.974008 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974018 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974028 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.974037 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974047 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.974061 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974071 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.974081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974091 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.unused:0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974101 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.974111 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.974120 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974130 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.974140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974150 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974160 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.974170 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974191 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974200 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.974211 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974230 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974240 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.974249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974265 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974275 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.974285 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974295 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.974304 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974314 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.974324 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974334 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974343 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.974353 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:58.974363 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974373 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.974382 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974392 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974402 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.974412 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974422 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974432 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974446 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.974456 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974466 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974475 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974485 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.974495 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974505 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974514 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.974524 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974534 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.974543 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974553 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.974563 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974573 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974582 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.974592 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:58.974602 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974611 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.974621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974631 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974640 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.974651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974660 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974675 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974684 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.974695 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974704 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974714 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974724 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.974733 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974743 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974753 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.974762 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974772 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.974782 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974792 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.974801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974811 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.borken:0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.974831 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:58.974840 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.974860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974870 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974879 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.974890 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974914 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974923 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.974934 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.974943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.974953 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974963 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.974973 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.974982 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.974992 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.975002 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975011 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.975021 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975031 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.975041 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975050 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.unknown:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975060 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.975070 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.975079 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975089 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.975099 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975109 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975118 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.975129 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975138 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975149 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975158 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.975172 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975192 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975201 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.975211 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975221 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975231 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.975240 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975250 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.975260 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975269 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.975279 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975289 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975298 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.975308 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.975318 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975327 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.975337 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975347 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975357 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.975367 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975377 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975387 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975397 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.975407 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975426 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975436 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.975446 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975455 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975465 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.975479 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975488 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.975498 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975508 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.975518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975527 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975537 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.975547 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.975556 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975566 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.975576 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975586 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975595 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.975606 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975615 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975626 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975635 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.975645 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975655 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975665 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975674 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.975684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975694 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975703 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.975713 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975723 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.975733 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975742 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.975752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975762 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975772 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.975782 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.975791 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975801 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.975811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975821 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975834 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.975844 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975854 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975865 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975874 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.975884 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.975894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.975904 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975913 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.975923 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.975933 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975943 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.975955 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975966 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.975977 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.975989 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.975999 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976009 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.vty:0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976019 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.976029 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.976039 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976048 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.976058 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976069 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976078 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.976089 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.976099 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.976109 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976119 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.976129 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.976139 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976149 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976159 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.976169 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.976179 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976189 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.976198 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976208 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.976218 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976228 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.976238 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976248 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.teardown:0|c 05:48:58.976257 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.976267 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.976277 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.976286 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.976296 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976306 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.976316 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.976326 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.976336 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.976349 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.976359 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.976369 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.976379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976389 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.976398 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.976408 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.976418 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.976427 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.976437 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.976447 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.976457 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.976466 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.976476 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.976486 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.976496 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.976506 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.976516 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.976526 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.976535 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.976545 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit } } 05:48:58.976622 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit } } } id 27 05:48:58.976646 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.976686 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit } } } id 27 05:48:58.976707 27 StatsD_Checker.ttcn:199 Message with id 27 was extracted from the queue of STATS. 05:48:58.976827 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E6C6368616E5F626F726B656E2E6576656E742E74735F6572726F723A307C630A5454434E332E6274732E302E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72725F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E302E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72736C5F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E6E6F745F696E697469616C697A65643A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F6163743A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E706463683A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F64656163743A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E696E5F7573653A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E302E74735F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E302E74735F626F726B656E2E6576656E742E706463685F6163745F61636B5F6E61636B3A307C630A5454434E332E6274732E302E74735F626F726B656E2E6576656E742E706463685F64656163745F61636B5F6E61636B3A307C630A5454434E332E6274732E302E74735F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E617474656D707465643A307C630A5454434E332E6274732E302E61737369676E6D656E742E617474656D707465645F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E617474656D707465645F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E636F6D706C657465643A307C630A5454434E332E6274732E302E61737369676E6D656E742E636F6D706C657465645F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E636F6D706C657465645F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E73746F707065643A307C63'O ("TTCN3.bts.0.lchan_borken.event.ts_error:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.0.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.0.ts_borken.from_state.unused:0|c\nTTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.0.ts_borken.from_state.pdch:0|c\nTTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.0.ts_borken.from_state.in_use:0|c\nTTCN3.bts.0.ts_borken.from_state.borken:0|c\nTTCN3.bts.0.ts_borken.from_state.unknown:0|c\nTTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.0.ts_borken.event.teardown:0|c\nTTCN3.bts.0.assignment.attempted:0|c\nTTCN3.bts.0.assignment.attempted_sign:0|c\nTTCN3.bts.0.assignment.attempted_speech:0|c\nTTCN3.bts.0.assignment.completed:0|c\nTTCN3.bts.0.assignment.completed_sign:0|c\nTTCN3.bts.0.assignment.completed_speech:0|c\nTTCN3.bts.0.assignment.stopped:0|c") } id 28 05:48:58.976847 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.lchan_borken.event.ts_error:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c\nTTCN3.bts.0.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.0.ts_borken.from_state.unused:0|c\nTTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.0.ts_borken.from_state.pdch:0|c\nTTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.0.ts_borken.from_state.in_use:0|c\nTTCN3.bts.0.ts_borken.from_state.borken:0|c\nTTCN3.bts.0.ts_borken.from_state.unknown:0|c\nTTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.0.ts_borken.event.teardown:0|c\nTTCN3.bts.0.assignment.attempted:0|c\nTTCN3.bts.0.assignment.attempted_sign:0|c\nTTCN3.bts.0.assignment.attempted_speech:0|c\nTTCN3.bts.0.assignment.completed:0|c\nTTCN3.bts.0.assignment.completed_sign:0|c\nTTCN3.bts.0.assignment.completed_speech:0|c\nTTCN3.bts.0.assignment.stopped:0|c" 05:48:58.976885 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.event.ts_error:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.976895 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.976905 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.976915 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.976925 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.976935 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.976946 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.976956 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.976970 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.976980 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.976991 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977001 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.977011 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977021 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977031 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977041 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.977051 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977061 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977071 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.977081 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977095 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.977105 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977115 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.977125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977135 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977145 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.977155 27 StatsD_CodecPort.ttcn:36 match_first result: 64 05:48:58.977164 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977174 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.977184 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977194 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977208 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.977218 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977228 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977239 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977249 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.977259 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977269 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977279 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977289 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.977299 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977308 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977318 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.977332 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.977352 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977362 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.977372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977382 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977392 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.977401 27 StatsD_CodecPort.ttcn:36 match_first result: 65 05:48:58.977411 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977421 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.977431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977447 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977457 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.977468 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977477 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977488 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977498 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.977508 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977528 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977538 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.977547 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977557 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977567 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.977577 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977591 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.977601 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977610 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.977620 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977630 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.not_initialized:0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977640 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.977650 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.977660 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977669 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.977679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977689 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977703 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.977728 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977739 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977751 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977761 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.977772 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977781 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977791 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977801 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.977811 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977821 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977830 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.977840 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977854 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.977864 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977874 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.977884 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977894 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.unused:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977904 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.977914 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.977923 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977933 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.977943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.977953 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.977963 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.977973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.977983 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.977993 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978007 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.978018 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978027 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978037 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978047 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.978057 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978067 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978076 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.978086 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978096 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.978106 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978120 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.978130 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978140 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978150 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.978160 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:58.978169 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978179 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.978189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978199 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.978219 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978239 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978249 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.978259 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978269 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978279 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978293 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.978303 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978312 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978322 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.978332 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.978351 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978361 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.978371 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978381 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.pdch:0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978391 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.978401 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.978410 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978424 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.978434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978444 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978454 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.978464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978484 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978494 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.978504 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978514 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978524 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978533 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.978543 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978553 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978563 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.978572 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978586 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.978596 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.978616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978626 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978635 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.978645 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:58.978655 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978665 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.978674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978694 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.978705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978725 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978739 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.978749 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978759 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978769 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978778 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.978788 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978798 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978808 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.978817 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978827 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.978837 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978847 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.978857 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978867 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.in_use:0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978876 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.978886 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.978896 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978910 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.978920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.978930 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978939 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.978950 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.978959 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.978970 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.978980 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.978990 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979000 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979009 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979019 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.979029 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979039 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979049 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.979058 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979068 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.979082 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979092 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.979102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979112 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.borken:0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979121 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.979131 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.979141 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979150 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.979160 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979170 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979180 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.979190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979200 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979210 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979220 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.979230 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979240 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979250 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979263 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.979273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979293 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.979303 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979313 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.979322 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979332 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.979342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979352 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.from_state.unknown:0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979362 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.979371 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.979381 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979391 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.979401 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979411 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979421 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.979431 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979441 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979451 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979465 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.979475 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979485 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979495 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979504 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.979514 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979524 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979533 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.979543 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979553 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.979563 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979573 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.979583 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979592 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979602 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.979612 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:58.979622 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979631 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.979641 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979651 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979665 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.979675 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979685 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979696 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979705 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.979715 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979725 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979735 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979744 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.979754 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979764 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979774 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.979783 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979793 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.979803 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979812 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.979822 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979832 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979842 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.979852 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:58.979861 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979877 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.979887 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979897 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979906 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.979917 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.979937 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979946 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.979957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.979966 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.979976 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.979986 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.979996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980005 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980015 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.980025 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980034 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.980044 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980054 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.980064 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980074 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken.event.teardown:0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980083 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.980093 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.980103 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980117 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.980126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980137 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980146 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.980156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980166 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980177 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980186 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.980196 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980216 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980225 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.980235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980245 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980255 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.980264 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.980284 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980293 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.980303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980313 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.attempted:0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980323 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.980333 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.980342 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980352 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.980362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980372 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980385 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.980396 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980405 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980416 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980425 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.980436 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980455 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980465 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.980475 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980484 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980494 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.980504 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980513 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.980523 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980533 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.980543 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980553 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.attempted_sign:0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980562 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.980572 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.980582 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980591 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.980601 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980611 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980621 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.980631 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980641 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980651 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980665 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.980676 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980685 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980695 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980704 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.980714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980724 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980734 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.980743 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980753 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.980763 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980772 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.980782 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980792 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.attempted_speech:0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980802 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.980811 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.980821 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980831 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.980841 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980851 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980860 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.980871 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980880 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980891 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980900 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.980911 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.980920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.980930 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980939 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.980949 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.980959 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980968 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.980978 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.980992 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.981002 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981012 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.981022 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981032 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.completed:0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981041 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.981051 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.981061 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981071 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.981081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981091 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981100 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.981111 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981121 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981131 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981141 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.981151 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981171 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981181 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.981191 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981201 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981210 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.981220 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981230 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.981240 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981250 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.981260 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981270 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.completed_sign:0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981279 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.981289 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.981299 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981308 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.981318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981328 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981338 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.981348 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981358 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981368 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981382 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.981392 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981411 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981421 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.981431 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981440 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981450 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.981460 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981469 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.981479 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981489 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.981499 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981509 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.completed_speech:0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981518 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.981528 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.981538 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981548 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.981558 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981568 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981577 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.981588 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981598 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981608 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981618 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.981628 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981648 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981657 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.981667 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981677 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981687 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.981696 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981711 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.981721 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981731 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.981741 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981754 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.stopped:0|c 05:48:58.981764 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.981776 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.981785 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.981795 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.981805 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981815 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.981825 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.981835 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981845 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.981855 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.981865 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.981875 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.981885 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.981895 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.981904 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.981919 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.981928 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.981938 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.981948 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.981958 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.981968 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.981978 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.981987 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.981997 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.982007 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.982019 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.982031 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.982042 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.982054 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.982065 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped", val := 0, mtype := "c", srate := omit } } 05:48:58.982143 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped", val := 0, mtype := "c", srate := omit } } } id 28 05:48:58.982167 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.982206 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped", val := 0, mtype := "c", srate := omit } } } id 28 05:48:58.982222 27 StatsD_Checker.ttcn:199 Message with id 28 was extracted from the queue of STATS. 05:48:58.982338 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E61737369676E6D656E742E73746F707065645F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E73746F707065645F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E61737369676E6D656E742E6E6F5F6368616E6E656C5F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E6E6F5F6368616E6E656C5F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E74696D656F75743A307C630A5454434E332E6274732E302E61737369676E6D656E742E74696D656F75745F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E74696D656F75745F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E6661696C65643A307C630A5454434E332E6274732E302E61737369676E6D656E742E6661696C65645F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E6661696C65645F7370656563683A307C630A5454434E332E6274732E302E61737369676E6D656E742E6572726F723A307C630A5454434E332E6274732E302E61737369676E6D656E742E6572726F725F7369676E3A307C630A5454434E332E6274732E302E61737369676E6D656E742E6572726F725F7370656563683A307C630A5454434E332E6274732E302E6C6F636174696F6E5F7570646174652E6163636570743A307C630A5454434E332E6274732E302E6C6F636174696F6E5F7570646174652E72656A6563743A307C630A5454434E332E6274732E302E6C6F636174696F6E5F7570646174652E6465746163683A307C630A5454434E332E6274732E302E6C6F636174696F6E5F7570646174652E756E6B6E6F776E3A307C630A5454434E332E6274732E302E68616E646F7665722E617474656D707465643A307C630A5454434E332E6274732E302E68616E646F7665722E636F6D706C657465643A307C630A5454434E332E6274732E302E68616E646F7665722E73746F707065643A307C630A5454434E332E6274732E302E68616E646F7665722E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E68616E646F7665722E74696D656F75743A307C630A5454434E332E6274732E302E68616E646F7665722E6661696C65643A307C630A5454434E332E6274732E302E68616E646F7665722E6572726F723A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E617474656D707465643A307C63'O ("TTCN3.bts.0.assignment.stopped_sign:0|c\nTTCN3.bts.0.assignment.stopped_speech:0|c\nTTCN3.bts.0.assignment.no_channel:0|c\nTTCN3.bts.0.assignment.no_channel_sign:0|c\nTTCN3.bts.0.assignment.no_channel_speech:0|c\nTTCN3.bts.0.assignment.timeout:0|c\nTTCN3.bts.0.assignment.timeout_sign:0|c\nTTCN3.bts.0.assignment.timeout_speech:0|c\nTTCN3.bts.0.assignment.failed:0|c\nTTCN3.bts.0.assignment.failed_sign:0|c\nTTCN3.bts.0.assignment.failed_speech:0|c\nTTCN3.bts.0.assignment.error:0|c\nTTCN3.bts.0.assignment.error_sign:0|c\nTTCN3.bts.0.assignment.error_speech:0|c\nTTCN3.bts.0.location_update.accept:0|c\nTTCN3.bts.0.location_update.reject:0|c\nTTCN3.bts.0.location_update.detach:0|c\nTTCN3.bts.0.location_update.unknown:0|c\nTTCN3.bts.0.handover.attempted:0|c\nTTCN3.bts.0.handover.completed:0|c\nTTCN3.bts.0.handover.stopped:0|c\nTTCN3.bts.0.handover.no_channel:0|c\nTTCN3.bts.0.handover.timeout:0|c\nTTCN3.bts.0.handover.failed:0|c\nTTCN3.bts.0.handover.error:0|c\nTTCN3.bts.0.intra_cell_ho.attempted:0|c") } id 29 05:48:58.982362 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.assignment.stopped_sign:0|c\nTTCN3.bts.0.assignment.stopped_speech:0|c\nTTCN3.bts.0.assignment.no_channel:0|c\nTTCN3.bts.0.assignment.no_channel_sign:0|c\nTTCN3.bts.0.assignment.no_channel_speech:0|c\nTTCN3.bts.0.assignment.timeout:0|c\nTTCN3.bts.0.assignment.timeout_sign:0|c\nTTCN3.bts.0.assignment.timeout_speech:0|c\nTTCN3.bts.0.assignment.failed:0|c\nTTCN3.bts.0.assignment.failed_sign:0|c\nTTCN3.bts.0.assignment.failed_speech:0|c\nTTCN3.bts.0.assignment.error:0|c\nTTCN3.bts.0.assignment.error_sign:0|c\nTTCN3.bts.0.assignment.error_speech:0|c\nTTCN3.bts.0.location_update.accept:0|c\nTTCN3.bts.0.location_update.reject:0|c\nTTCN3.bts.0.location_update.detach:0|c\nTTCN3.bts.0.location_update.unknown:0|c\nTTCN3.bts.0.handover.attempted:0|c\nTTCN3.bts.0.handover.completed:0|c\nTTCN3.bts.0.handover.stopped:0|c\nTTCN3.bts.0.handover.no_channel:0|c\nTTCN3.bts.0.handover.timeout:0|c\nTTCN3.bts.0.handover.failed:0|c\nTTCN3.bts.0.handover.error:0|c\nTTCN3.bts.0.intra_cell_ho.attempted:0|c" 05:48:58.982396 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.stopped_sign:0|c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982410 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.982420 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.982430 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982440 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.982450 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982461 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982470 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.982481 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.982491 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.982502 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982517 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.982528 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.982537 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982547 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982557 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.982567 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.982577 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982587 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.982597 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982607 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.982617 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982630 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.982641 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982651 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.stopped_speech:0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982661 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.982671 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.982680 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982690 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.982700 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982710 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982720 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.982731 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.982744 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.982755 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982765 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.982776 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.982785 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982795 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982805 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.982815 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.982825 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982835 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.982845 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982859 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.982869 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982878 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.982888 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982898 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.no_channel:0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982908 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.982918 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.982928 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982938 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.982947 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.982958 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.982973 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.982984 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.982994 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983004 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983014 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.983025 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983034 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983044 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983054 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.983064 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983074 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983083 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.983093 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983107 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.983117 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983127 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.983137 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983147 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.no_channel_sign:0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983157 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.983167 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.983177 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983186 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.983196 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983206 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983220 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.983231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983240 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983251 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983261 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.983271 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983291 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983301 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.983311 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983320 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983330 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.983340 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983354 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.983364 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983374 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.983384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983394 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.no_channel_speech:0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983403 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.983413 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.983423 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983433 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.983443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983453 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983466 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.983477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983487 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983497 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983507 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.983517 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983527 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983537 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983547 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.983557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983566 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983576 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.983586 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983601 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.983611 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983620 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.983630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983640 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.timeout:0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983650 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.983660 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.983670 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983680 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.983689 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983700 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983709 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.983720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983747 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983757 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.983767 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983777 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983786 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983796 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.983806 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983816 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983825 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.983835 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983845 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.983855 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983869 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.983879 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983889 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.timeout_sign:0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983899 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.983909 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.983919 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983928 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.983938 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.983948 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983958 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.983969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.983978 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.983989 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.983999 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.984020 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984030 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984039 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984049 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.984059 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984069 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984079 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.984089 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984099 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.984108 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984118 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.984128 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984138 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.timeout_speech:0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984152 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.984162 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.984172 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984182 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.984192 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984202 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984212 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.984222 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984232 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984243 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984252 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.984263 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984273 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984282 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984292 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.984302 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984312 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984326 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.984336 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984346 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.984356 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984366 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.984376 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984386 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.failed:0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984396 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.984406 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.984415 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984425 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.984435 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984445 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984459 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.984470 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984479 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984490 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984500 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.984511 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984520 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984530 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984540 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.984550 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984560 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984570 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.984580 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984590 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.984600 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984613 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.984624 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984634 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.failed_sign:0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984644 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.984654 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.984663 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984673 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.984683 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984694 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984703 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.984714 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984724 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984734 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984744 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.984755 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984765 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984775 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984788 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.984799 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984808 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984819 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.984828 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984838 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.984848 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984858 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.984868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984878 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.failed_speech:0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984888 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.984898 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.984908 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984922 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.984932 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.984943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.984963 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.984973 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.984984 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.984994 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.985004 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985014 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985024 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985034 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.985044 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985054 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985064 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.985074 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985084 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.985093 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985109 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.985120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985130 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.error:0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985140 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.985150 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.985159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985169 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.985179 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985190 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985200 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.985210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985220 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985231 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985241 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.985251 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985261 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985271 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985281 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.985295 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985305 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985315 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.985325 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985335 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.985344 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985354 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.985365 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985375 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.error_sign:0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985384 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.985394 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.985404 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985414 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.985424 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985435 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985445 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.985455 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985469 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985480 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985490 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.985501 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985511 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985521 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985531 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.985541 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985551 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985561 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.985570 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985580 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.985590 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985600 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.985610 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985620 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.assignment.error_speech:0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985630 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.985640 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.985650 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985664 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.985674 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985695 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.985710 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985721 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985732 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985743 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.985755 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985767 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985777 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985786 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.985796 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985806 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985816 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.985825 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985835 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.985845 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985859 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.985869 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985879 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.location_update.accept:0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985889 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.985899 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.985908 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985918 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.985928 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.985938 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985948 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.985958 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.985968 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.985979 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.985988 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.985999 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986009 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986018 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986028 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.986038 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986048 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986057 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.986067 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986081 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.986091 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986101 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.986111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986121 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.location_update.reject:0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986131 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.986141 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.986150 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986160 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.986170 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986180 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986190 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.986200 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986210 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986220 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986230 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.986241 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986250 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986260 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986270 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.986280 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986289 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986303 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.986313 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986323 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.986333 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986342 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.986352 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986362 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.location_update.detach:0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986372 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.986382 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.986392 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986401 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.986411 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986421 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986431 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.986441 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986462 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986471 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.986482 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986501 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986511 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.986521 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986531 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986544 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.986554 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986564 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.986574 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986584 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.986594 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986604 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.location_update.unknown:0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986613 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.986623 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.986633 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986643 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.986653 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986663 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986672 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.986685 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986707 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986717 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.986728 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986737 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986747 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986757 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.986767 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986777 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986786 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.986800 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986810 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.986820 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986830 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.986840 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986850 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.attempted:0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986859 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.986869 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.986879 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986888 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.986898 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986908 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986918 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.986928 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986938 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.986948 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986958 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.986968 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.986978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.986988 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.986997 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.987007 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987017 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987027 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.987036 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987046 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.987056 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987069 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.987079 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987089 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.completed:0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987099 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.987108 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.987118 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987128 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.987137 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987148 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987157 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.987167 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987177 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987188 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987197 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.987208 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987217 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987227 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987236 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.987246 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987256 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987266 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.987275 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987285 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.987295 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987304 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.987314 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987324 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.stopped:0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987333 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.987343 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.987353 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987366 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.987376 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987386 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987396 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.987406 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987416 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987426 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987436 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.987446 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987456 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987466 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987475 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.987485 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987495 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987504 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.987514 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987524 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.987533 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987543 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.987553 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987563 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.no_channel:0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987572 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.987582 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.987592 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987601 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.987611 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987621 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987631 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.987641 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987650 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987661 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987670 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.987681 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987690 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987700 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987710 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.987723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987733 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987743 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.987753 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987762 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.987772 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987782 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.987791 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987801 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.timeout:0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987811 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.987820 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.987830 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987840 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.987849 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987860 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987869 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.987879 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987889 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987899 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987909 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.987919 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.987929 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.987939 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987948 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.987958 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.987967 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987977 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.987987 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.987996 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.988006 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988016 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.988025 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988035 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.failed:0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988045 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.988055 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.988064 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988074 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.988084 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988094 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988103 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.988114 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988127 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.988138 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988147 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.988158 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988167 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988177 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988186 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.988196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.988206 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988215 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.988225 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988235 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.988244 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988254 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.988264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988273 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.handover.error:0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988283 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.988293 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:58.988302 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988312 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.988322 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988332 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988341 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.988351 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988361 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.988371 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988381 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.988391 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988401 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988411 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988420 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.988430 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.988440 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988449 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.988459 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988469 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.988478 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988488 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.988498 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988508 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.attempted:0|c 05:48:58.988518 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.988528 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.988537 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.988547 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.988557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988567 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.988576 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.988587 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988597 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.988607 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.988616 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.988631 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.988641 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.988651 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.988660 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.988670 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.988680 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.988689 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.988699 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.988708 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.988718 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.988728 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.988737 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.988747 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.988757 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.988767 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.988777 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.988786 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.988796 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.988806 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } 05:48:58.988886 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 29 05:48:58.988912 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.988953 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.stopped_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 29 05:48:58.988973 27 StatsD_Checker.ttcn:199 Message with id 29 was extracted from the queue of STATS. 05:48:58.989095 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E696E7472615F63656C6C5F686F2E636F6D706C657465643A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E73746F707065643A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E74696D656F75743A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E6661696C65643A307C630A5454434E332E6274732E302E696E7472615F63656C6C5F686F2E6572726F723A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E302E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E302E696E636F6D696E675F696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E302E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F6F75742E74696D656F75743A307C63'O ("TTCN3.bts.0.intra_cell_ho.completed:0|c\nTTCN3.bts.0.intra_cell_ho.stopped:0|c\nTTCN3.bts.0.intra_cell_ho.no_channel:0|c\nTTCN3.bts.0.intra_cell_ho.timeout:0|c\nTTCN3.bts.0.intra_cell_ho.failed:0|c\nTTCN3.bts.0.intra_cell_ho.error:0|c\nTTCN3.bts.0.intra_bsc_ho.attempted:0|c\nTTCN3.bts.0.intra_bsc_ho.completed:0|c\nTTCN3.bts.0.intra_bsc_ho.stopped:0|c\nTTCN3.bts.0.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.0.intra_bsc_ho.timeout:0|c\nTTCN3.bts.0.intra_bsc_ho.failed:0|c\nTTCN3.bts.0.intra_bsc_ho.error:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.0.interbsc_ho_out.attempted:0|c\nTTCN3.bts.0.interbsc_ho_out.completed:0|c\nTTCN3.bts.0.interbsc_ho_out.stopped:0|c\nTTCN3.bts.0.interbsc_ho_out.timeout:0|c") } id 30 05:48:58.989116 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.intra_cell_ho.completed:0|c\nTTCN3.bts.0.intra_cell_ho.stopped:0|c\nTTCN3.bts.0.intra_cell_ho.no_channel:0|c\nTTCN3.bts.0.intra_cell_ho.timeout:0|c\nTTCN3.bts.0.intra_cell_ho.failed:0|c\nTTCN3.bts.0.intra_cell_ho.error:0|c\nTTCN3.bts.0.intra_bsc_ho.attempted:0|c\nTTCN3.bts.0.intra_bsc_ho.completed:0|c\nTTCN3.bts.0.intra_bsc_ho.stopped:0|c\nTTCN3.bts.0.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.0.intra_bsc_ho.timeout:0|c\nTTCN3.bts.0.intra_bsc_ho.failed:0|c\nTTCN3.bts.0.intra_bsc_ho.error:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.0.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.0.interbsc_ho_out.attempted:0|c\nTTCN3.bts.0.interbsc_ho_out.completed:0|c\nTTCN3.bts.0.interbsc_ho_out.stopped:0|c\nTTCN3.bts.0.interbsc_ho_out.timeout:0|c" 05:48:58.989154 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.completed:0|c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989164 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.989174 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.989184 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989194 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.989204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989214 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989228 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.989239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989259 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989269 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.989280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989289 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989299 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989309 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.989319 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989329 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989339 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.989348 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989363 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.989373 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989383 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.989392 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989402 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.stopped:0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989412 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.989422 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.989432 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989442 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.989451 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989462 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989475 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.989486 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989496 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989506 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989516 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.989527 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989536 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989546 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989556 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.989566 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989575 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989589 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.989599 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989609 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.989619 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989629 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.989639 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989648 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.no_channel:0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989658 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.989668 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.989678 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989691 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.989701 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989724 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989734 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.989744 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989754 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989765 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989774 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.989785 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.989794 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989804 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989814 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.989824 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.989834 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989847 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.989857 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989867 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.989877 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989887 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.989897 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989907 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.timeout:0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989916 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.989926 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.989936 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989950 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.989960 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.989970 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.989980 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.989990 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990000 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990010 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990020 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.990030 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990040 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990050 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990060 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.990070 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990079 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990093 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.990103 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990113 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.990123 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990133 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.990143 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990152 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.failed:0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990162 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.990172 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.990182 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990195 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.990205 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990216 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990225 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.990236 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990245 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990256 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990266 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.990276 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990286 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990295 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990305 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.990315 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990325 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990338 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.990348 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990358 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.990368 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990378 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.990388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990397 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_cell_ho.error:0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990407 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.990417 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.990427 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990440 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.990450 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990460 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990470 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.990480 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990490 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990501 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990510 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.990521 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990530 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990540 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990550 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.990560 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990569 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990579 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.990593 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990603 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.990613 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990622 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.990632 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990642 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.attempted:0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990652 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.990662 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.990671 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990681 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.990691 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990701 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990717 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.990727 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990737 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990748 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990757 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.990768 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990777 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990787 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990797 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.990807 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990817 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990826 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.990836 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990846 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.990860 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990870 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.990880 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990890 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.completed:0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990899 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.990909 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.990919 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990929 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.990939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.990949 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.990958 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.990969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.990979 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.990989 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991003 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.991013 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991023 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991033 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991042 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.991052 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991062 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991072 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.991082 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991092 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.991102 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991112 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.991122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991132 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.stopped:0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991146 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.991156 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.991166 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991175 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.991185 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991196 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991205 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.991216 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991226 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991236 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991246 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.991256 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991276 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991286 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.991296 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991305 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991319 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.991329 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991339 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.991349 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991359 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.991369 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991379 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.no_channel:0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991389 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.991399 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.991408 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991418 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.991428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991452 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.991463 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991473 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991483 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991493 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.991504 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991513 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991523 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991533 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.991543 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991553 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991563 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.991573 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991582 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.991592 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.991617 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991627 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.timeout:0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991636 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.991646 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.991656 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991666 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.991676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991686 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991696 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.991707 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991716 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991727 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991737 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.991748 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991767 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991781 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.991791 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991801 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991811 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.991821 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991831 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.991841 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991851 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.991861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991871 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.failed:0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991881 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.991891 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.991901 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991911 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.991921 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.991931 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.991955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.991965 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.991976 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.991986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.991996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992016 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992026 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.992036 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992045 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992055 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.992065 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992075 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.992085 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992095 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.992109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992119 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.intra_bsc_ho.error:0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992129 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.992139 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:58.992149 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992159 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.992169 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992179 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992189 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.992199 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992209 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992220 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992230 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.992240 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992250 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992260 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992270 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.992280 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992289 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992303 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.992313 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992323 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.992333 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992343 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.992353 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992363 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992373 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.992383 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.992393 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992403 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.992413 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992423 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992433 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.992443 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992453 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992464 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992473 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.992484 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992497 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992507 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992517 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.992527 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992537 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992546 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.992556 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992566 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.992576 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992585 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.992595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992605 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992615 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.992624 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:58.992634 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992644 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.992654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992664 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992678 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.992688 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992698 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992709 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992718 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.992729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992738 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992748 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992757 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.992767 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992777 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992787 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.992796 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992806 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.992816 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992826 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.992836 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992845 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992855 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.992865 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.992875 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992888 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.992898 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992908 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992918 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.992928 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992938 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.992948 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992958 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.992968 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.992978 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.992987 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.992997 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.993007 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993016 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993026 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.993036 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993046 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.993056 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993065 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.993075 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993085 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.993105 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:58.993114 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993128 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.993138 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993149 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993158 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.993169 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993179 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993189 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993199 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.993209 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993219 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993229 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993239 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.993249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993259 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993268 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.993278 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993288 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.993298 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993308 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.993318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993328 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993337 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.993347 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:58.993357 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993373 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.993383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993393 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993403 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.993413 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993423 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993434 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993443 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.993454 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993463 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993473 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993483 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.993493 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993503 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993512 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.993522 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993532 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.993542 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993552 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.993562 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993572 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993581 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.993591 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:58.993601 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993611 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.993621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993631 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993640 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.993651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993666 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993677 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993687 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.993697 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993711 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993721 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993731 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.993741 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993751 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993760 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.993770 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993780 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.993790 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993799 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.993809 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993819 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.incoming_intra_bsc_ho.error:0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993829 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.993839 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:58.993849 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993858 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.993868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993879 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993888 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.993899 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993909 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993919 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993929 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.993939 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.993949 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.993958 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.993968 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.993978 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.993987 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994001 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.994011 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994021 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.994031 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994041 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.994051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994061 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.attempted:0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994071 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.994080 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.994090 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994100 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.994110 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994120 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994129 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.994142 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994153 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994163 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994173 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.994184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994194 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994203 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994213 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.994223 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994233 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994242 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.994252 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994262 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.994272 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994281 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.994291 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994301 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.completed:0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994311 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.994321 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.994331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994340 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.994350 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994360 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994374 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.994384 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994394 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994404 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994414 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.994424 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994444 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994453 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.994463 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994472 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994482 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.994492 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994501 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.994511 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994521 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.994531 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994540 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.stopped:0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994550 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.994560 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.994569 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994579 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.994589 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994599 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994609 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.994619 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994629 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994639 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994649 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.994659 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994668 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994678 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994688 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.994698 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994707 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994717 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.994727 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994736 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.994746 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994755 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.994765 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994775 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.timeout:0|c 05:48:58.994785 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.994795 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:58.994804 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:58.994814 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.994824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994834 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:58.994843 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.994853 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994863 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.994878 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:58.994888 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.994898 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.994908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.994917 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:58.994927 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.994937 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.994946 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:58.994956 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.994965 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:58.994975 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.994985 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:58.994995 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:58.995004 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:58.995014 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.995024 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:58.995034 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.995044 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:58.995053 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.995063 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:58.995073 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit } } 05:48:58.995148 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit } } } id 30 05:48:58.995171 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:58.995212 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit } } } id 30 05:48:58.995232 27 StatsD_Checker.ttcn:199 Message with id 30 was extracted from the queue of STATS. 05:48:58.995352 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E617474656D707465643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E6661696C65643A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6274732E302E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6274732E302E73727663632E617474656D707465643A307C630A5454434E332E6274732E302E73727663632E636F6D706C657465643A307C630A5454434E332E6274732E302E73727663632E73746F707065643A307C630A5454434E332E6274732E302E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E302E73727663632E74696D656F75743A307C630A5454434E332E6274732E302E73727663632E6661696C65643A307C630A5454434E332E6274732E302E73727663632E6572726F723A307C630A5454434E332E6274732E302E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6274732E302E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6274732E302E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6274732E302E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6274732E302E636D5F736572765F72656A3A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F686C723A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696C6C6567616C5F6D733A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F766C723A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696D65695F6E6F745F61636365707465643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696C6C6567616C5F6D653A307C63'O ("TTCN3.bts.0.interbsc_ho_out.failed:0|c\nTTCN3.bts.0.interbsc_ho_out.error:0|c\nTTCN3.bts.0.interbsc_ho_in.attempted:0|c\nTTCN3.bts.0.interbsc_ho_in.completed:0|c\nTTCN3.bts.0.interbsc_ho_in.stopped:0|c\nTTCN3.bts.0.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.0.interbsc_ho_in.failed:0|c\nTTCN3.bts.0.interbsc_ho_in.timeout:0|c\nTTCN3.bts.0.interbsc_ho_in.error:0|c\nTTCN3.bts.0.srvcc.attempted:0|c\nTTCN3.bts.0.srvcc.completed:0|c\nTTCN3.bts.0.srvcc.stopped:0|c\nTTCN3.bts.0.srvcc.no_channel:0|c\nTTCN3.bts.0.srvcc.timeout:0|c\nTTCN3.bts.0.srvcc.failed:0|c\nTTCN3.bts.0.srvcc.error:0|c\nTTCN3.bts.0.all_allocated.sdcch:0|c\nTTCN3.bts.0.all_allocated.static_sdcch:0|c\nTTCN3.bts.0.all_allocated.tch:0|c\nTTCN3.bts.0.all_allocated.static_tch:0|c\nTTCN3.bts.0.cm_serv_rej:0|c\nTTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.0.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.0.cm_serv_rej.illegal_me:0|c") } id 31 05:48:58.995372 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.interbsc_ho_out.failed:0|c\nTTCN3.bts.0.interbsc_ho_out.error:0|c\nTTCN3.bts.0.interbsc_ho_in.attempted:0|c\nTTCN3.bts.0.interbsc_ho_in.completed:0|c\nTTCN3.bts.0.interbsc_ho_in.stopped:0|c\nTTCN3.bts.0.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.0.interbsc_ho_in.failed:0|c\nTTCN3.bts.0.interbsc_ho_in.timeout:0|c\nTTCN3.bts.0.interbsc_ho_in.error:0|c\nTTCN3.bts.0.srvcc.attempted:0|c\nTTCN3.bts.0.srvcc.completed:0|c\nTTCN3.bts.0.srvcc.stopped:0|c\nTTCN3.bts.0.srvcc.no_channel:0|c\nTTCN3.bts.0.srvcc.timeout:0|c\nTTCN3.bts.0.srvcc.failed:0|c\nTTCN3.bts.0.srvcc.error:0|c\nTTCN3.bts.0.all_allocated.sdcch:0|c\nTTCN3.bts.0.all_allocated.static_sdcch:0|c\nTTCN3.bts.0.all_allocated.tch:0|c\nTTCN3.bts.0.all_allocated.static_tch:0|c\nTTCN3.bts.0.cm_serv_rej:0|c\nTTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c\nTTCN3.bts.0.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.0.cm_serv_rej.illegal_me:0|c" 05:48:58.995410 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.failed:0|c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995420 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.995430 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.995440 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995450 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.995460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995470 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995480 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.995491 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.995501 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.995516 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995526 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.995536 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.995546 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995556 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995566 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.995576 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.995585 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995595 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.995605 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995619 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.995629 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995639 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.995648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995658 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_out.error:0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995668 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.995678 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.995688 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995697 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.995707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995717 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995731 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.995742 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.995751 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.995762 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995772 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.995782 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.995792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995801 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995811 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.995821 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.995831 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995841 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.995850 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995871 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.995881 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995890 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.995900 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995910 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.attempted:0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995920 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.995930 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.995940 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995949 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.995959 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.995973 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.995983 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.995993 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996003 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996014 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996023 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.996034 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996043 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996053 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996063 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.996073 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996082 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996096 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.996106 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996116 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.996126 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996135 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.996145 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996155 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.completed:0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996165 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.996175 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:58.996185 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996198 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.996208 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996218 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996228 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.996239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996248 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996259 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996269 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.996279 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996289 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996298 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996308 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.996318 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996328 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996343 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.996353 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996363 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.996373 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996383 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.996393 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996403 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.stopped:0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996412 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.996422 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.996432 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996442 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.996451 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996462 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996475 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.996485 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996495 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996506 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996516 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.996526 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996536 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996546 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996555 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.996565 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996575 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996585 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.996594 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996608 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.996618 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996628 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.996638 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996648 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.no_channel:0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996657 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.996667 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:58.996677 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996687 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.996696 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996707 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996720 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.996731 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996751 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996761 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.996771 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996781 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996791 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996800 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.996810 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996820 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996830 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.996839 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996850 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.996863 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996873 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.996883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996893 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.failed:0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996903 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.996913 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:58.996923 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996933 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.996943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.996953 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.996963 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.996973 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.996983 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.996993 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997007 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.997018 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997028 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997037 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997047 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.997057 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997067 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997077 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.997087 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997097 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.997106 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997116 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.997126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997136 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.timeout:0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997150 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.997160 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:58.997170 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997179 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.997189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997200 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.997220 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997230 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997240 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997250 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.997261 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997280 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997294 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.997304 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997314 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997324 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.997334 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997343 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.997353 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997363 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.997373 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997383 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.interbsc_ho_in.error:0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997393 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.997403 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:58.997413 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997423 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.997437 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997447 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997457 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.997468 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997478 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997488 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997498 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.997509 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997519 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997528 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997538 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.997548 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997558 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997568 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.997578 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997592 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.997602 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997612 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.997622 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997632 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.attempted:0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997642 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.997652 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.997662 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997671 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.997681 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997692 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997702 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.997717 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997738 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.997758 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997773 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997783 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997793 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.997803 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997813 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997823 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.997833 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997843 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.997853 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.997873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.completed:0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997893 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.997903 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:58.997912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997926 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.997936 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.997947 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997957 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.997967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.997977 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.997988 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.997998 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.998009 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998028 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998038 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.998048 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998058 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998068 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.998078 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998092 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.998102 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998112 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.998122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998132 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.stopped:0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998142 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.998152 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.998162 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998172 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.998182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998192 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998202 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.998213 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998222 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998233 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998243 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.998254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998274 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998288 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.998298 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998308 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998318 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.998328 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998338 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.998348 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998358 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.998368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998378 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.no_channel:0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998388 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.998397 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:58.998407 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998417 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.998427 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998451 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.998462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998483 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998493 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.998503 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998513 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998523 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998533 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.998543 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998552 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998562 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.998572 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998582 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.998592 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998602 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.998612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998622 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.timeout:0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998638 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.998649 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:58.998658 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998668 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.998678 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998689 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998699 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.998709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.998750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998770 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998780 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.998790 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998799 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998809 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.998819 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.998843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998853 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.998863 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998873 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.failed:0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998883 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.998893 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:58.998903 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998913 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.998923 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.998933 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998943 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.998954 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.998963 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.998974 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.998984 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.998994 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999004 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999014 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999027 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.999037 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999047 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999057 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.999066 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999076 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.999086 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999096 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.999106 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999115 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.srvcc.error:0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999125 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.999135 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:58.999145 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999154 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.999164 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999174 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999184 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.999194 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999204 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999214 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999228 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.999238 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999248 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999258 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999267 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.999277 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999287 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999297 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.999306 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999316 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.999326 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999335 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.999345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999355 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.all_allocated.sdcch:0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999365 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.999375 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:58.999384 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999394 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.999404 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999414 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999428 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.999438 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999448 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999458 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999468 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.999478 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999488 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999498 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999507 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.999517 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999527 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999536 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.999546 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999556 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.999566 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999575 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.999585 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999595 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.all_allocated.static_sdcch:0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.999614 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:58.999624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.999643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999654 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999667 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.999678 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999687 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999698 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999707 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.999718 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999727 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999737 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999746 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.999756 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999766 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999776 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:58.999785 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999795 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:58.999805 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999814 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:58.999824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999834 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.all_allocated.tch:0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999844 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:58.999853 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:58.999863 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999873 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:58.999882 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999892 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999906 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:58.999917 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:58.999937 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999946 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:58.999957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:58.999966 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:58.999976 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:58.999986 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:58.999996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000005 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000015 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.000025 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000034 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.000044 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000054 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.000063 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000073 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.all_allocated.static_tch:0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000083 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.000093 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.000102 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000112 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.000122 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000132 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000141 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.000156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000166 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000176 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000186 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.000196 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000215 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000225 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.000235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000244 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000254 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.000264 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.000283 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000293 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.000303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000313 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000322 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.000332 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.000342 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000351 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.000361 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000371 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000381 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.000391 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000401 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000411 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000421 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.000431 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000455 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000464 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.000474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000484 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000493 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.000503 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000513 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.000523 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000532 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.000542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000552 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr:0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000562 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.000572 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.000582 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000591 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.000601 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000611 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000621 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.000631 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000641 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000652 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000661 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.000672 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000681 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000691 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000701 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.000711 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000721 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000730 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.000740 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000754 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.000764 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000775 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.000786 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000798 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.illegal_ms:0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000808 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.000818 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.000828 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000838 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.000848 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000858 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000868 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.000878 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000888 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000898 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000908 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.000918 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.000928 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.000938 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000948 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.000957 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.000967 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000977 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.000987 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.000997 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.001006 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001016 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.001026 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001036 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001046 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.001055 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.001065 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001075 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.001085 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001095 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001105 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.001115 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001125 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.001135 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001149 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.001159 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001169 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001179 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001189 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.001199 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.001209 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001218 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.001228 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001238 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.001248 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001258 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.001270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001281 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001290 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.001300 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.001310 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001320 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.001330 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001340 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001349 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.001360 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001370 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.001380 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001390 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.001400 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001410 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001420 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001429 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.001439 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.001449 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001458 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.001468 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001478 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.001488 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001497 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.001507 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001517 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.illegal_me:0|c 05:48:59.001527 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.001536 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.001546 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.001556 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.001565 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001575 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.001585 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.001595 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001605 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.001615 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.001625 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.001635 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.001645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.001659 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.001668 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.001678 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.001688 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.001697 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.001718 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.001728 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.001738 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.001748 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.001757 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.001767 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.001778 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.001789 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.001801 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.001811 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.001821 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.001830 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit } } 05:48:59.001908 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit } } } id 31 05:48:59.001932 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.001973 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit } } } id 31 05:48:59.001993 27 StatsD_Checker.ttcn:199 Message with id 31 was extracted from the queue of STATS. 05:48:59.002114 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E636D5F736572765F72656A2E706C6D6E5F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E6C6F635F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E726F616D696E675F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E6E6574776F726B5F6661696C7572653A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E73796E63685F6661696C7572653A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E636F6E67657374696F6E3A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E7271645F7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E7372765F6F70745F746D705F6F75745F6F665F6F726465723A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E63616C6C5F63616E5F6E6F745F62655F6964656E7469666965643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696E636F72726563745F6D6573736167653A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696E76616C69645F6D616E64616E746F72795F696E663A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E6D73675F747970655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E6D73675F747970655F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E696E665F656C656D655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E636F6E6474696F6E616C5F69655F6572726F723A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E6D73675F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E70726F746F636F6C5F6572726F723A307C630A5454434E332E6274732E302E636D5F736572765F72656A2E72657472795F696E5F6E65775F63656C6C3A307C630A5454434E332E7369677472616E5F61732E61732D636C6E742D6D73632D302E72782E6D73752E746F74616C3A317C63'O ("TTCN3.bts.0.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.network_failure:0|c\nTTCN3.bts.0.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.0.cm_serv_rej.congestion:0|c\nTTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.0.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.0.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c") } id 32 05:48:59.002134 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.0.cm_serv_rej.network_failure:0|c\nTTCN3.bts.0.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.0.cm_serv_rej.congestion:0|c\nTTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.0.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c\nTTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.0.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c" 05:48:59.002173 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002183 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.002193 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.002203 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002213 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.002223 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002233 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002243 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.002254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002264 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002274 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002289 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.002299 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002309 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002319 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002329 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.002339 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002348 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002358 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.002368 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002382 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.002392 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002402 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.002412 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002422 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002432 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.002442 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.002451 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002461 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.002471 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002481 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002495 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.002506 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002515 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002526 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002536 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.002546 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002556 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002566 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002575 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.002585 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002595 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002605 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.002615 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002629 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.002639 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002648 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.002658 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002668 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002678 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.002688 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.002698 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002707 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.002717 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002727 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002741 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.002752 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002761 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002772 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002782 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.002792 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.002802 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002811 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002821 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.002831 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.002841 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002851 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.002860 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002874 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.002884 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002894 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.002904 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002914 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.network_failure:0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002923 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.002933 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.002943 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002953 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.002962 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.002973 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.002986 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.002997 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003006 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003017 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003027 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.003037 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003047 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003057 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003066 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.003076 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003086 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003096 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.003106 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003119 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.003129 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003139 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.003149 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003159 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.synch_failure:0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003169 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.003178 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.003188 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003198 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.003208 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003218 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003228 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.003242 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003252 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003262 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003272 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.003283 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003292 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003302 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003312 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.003322 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003331 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003341 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.003351 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003361 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.003371 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003385 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.003395 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003405 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.congestion:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003415 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.003425 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.003434 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003444 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.003454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003464 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003474 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.003484 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003494 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003504 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003518 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.003529 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003538 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003548 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003558 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.003568 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003577 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003587 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.003597 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003607 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.003617 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003627 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.003637 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003646 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003660 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.003670 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.003680 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003690 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.003700 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003710 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003719 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.003730 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003750 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003760 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.003770 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003780 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003790 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003799 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.003809 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003823 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003833 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.003843 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003853 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.003863 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003873 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.003883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003892 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003902 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.003912 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.003922 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003932 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.003941 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.003952 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.003965 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.003976 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.003985 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.003996 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004006 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.004016 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004026 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004036 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004046 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.004056 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004065 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004075 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.004085 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004095 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.004105 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004121 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.004131 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004141 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004151 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.004161 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:59.004170 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004180 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.004190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004201 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004210 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.004221 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004231 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004241 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004251 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.004261 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004271 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004281 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004295 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.004305 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004315 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004325 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.004335 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004345 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.004355 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004364 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.004374 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004384 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004394 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.004404 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.004414 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004424 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.004434 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004444 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004458 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.004468 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004478 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004489 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004499 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.004509 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004519 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004529 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004539 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.004549 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004558 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004568 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.004578 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004588 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.004598 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004608 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.004618 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004628 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.incorrect_message:0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004641 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.004652 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.004661 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004671 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.004681 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004691 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004701 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.004711 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004721 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004732 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004742 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.004752 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004762 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004772 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004781 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.004791 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004801 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004811 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.004820 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004834 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.004844 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004854 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.004864 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004873 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004883 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.004893 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:59.004903 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004912 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.004922 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.004932 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004942 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.004952 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.004962 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.004972 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.004982 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.004992 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005012 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005021 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.005031 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005041 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005054 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.005064 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005074 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.005084 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005093 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.005103 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005113 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005123 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.005132 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:59.005142 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005152 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.005161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005172 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005181 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.005192 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005201 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005212 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005221 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.005232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005251 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005265 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.005275 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005285 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005294 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.005304 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005314 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.005324 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005334 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.005344 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005353 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005363 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.005373 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.005382 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005392 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.005402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005412 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005421 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.005432 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005441 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005452 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005461 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.005472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005481 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005491 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005500 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.005515 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005525 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005535 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.005544 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005554 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.005564 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005573 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.005583 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005593 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented:0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005603 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.005612 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.005622 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005632 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.005642 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005652 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005661 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.005672 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005681 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005692 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005701 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.005717 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005727 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005737 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005746 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.005756 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005766 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005776 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.005785 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005799 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.005809 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005819 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.005829 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005839 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005848 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.005858 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.005868 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005877 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.005887 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005897 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005907 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.005917 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005927 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.005937 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005947 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.005957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.005967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.005976 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.005986 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.005996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006005 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006015 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.006025 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006035 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.006045 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006054 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.006064 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006074 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006083 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.006097 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.006107 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006116 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.006126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006136 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006146 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.006156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006166 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006177 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006186 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.006196 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006216 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006225 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.006235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006245 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006255 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.006264 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.006284 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006294 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.006304 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006314 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.protocol_error:0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006323 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.006333 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.006343 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006353 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.006363 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006373 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006382 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.006393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006402 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006413 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006423 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.006433 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006452 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006462 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.006472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006482 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006495 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.006505 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006515 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.006525 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006535 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.006545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006555 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.cm_serv_rej.retry_in_new_cell:0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006564 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.006574 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.006584 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006594 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.006603 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006614 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006623 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.006634 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006644 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006654 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006664 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.006674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006694 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006703 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.006713 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006723 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006733 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.006742 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006752 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.006762 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006771 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.006781 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006791 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total:1|c 05:48:59.006801 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.006811 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.006821 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|c 05:48:59.006830 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.006840 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006850 27 StatsD_CodecPort.ttcn:36 match_first data: 1|c 05:48:59.006860 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.006870 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006880 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.006891 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.006900 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.006911 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.006920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.006930 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.006940 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.006950 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.006959 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.006969 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.006979 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.006988 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.006998 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.007012 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.007022 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.007032 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.007041 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.007052 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.007062 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.007071 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.007081 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.007091 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total", val := 1, mtype := "c", srate := omit } } 05:48:59.007164 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total", val := 1, mtype := "c", srate := omit } } } id 32 05:48:59.007187 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.007226 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_as.as-clnt-msc-0.rx.msu.total", val := 1, mtype := "c", srate := omit } } } id 32 05:48:59.007242 27 StatsD_Checker.ttcn:199 Message with id 32 was extracted from the queue of STATS. 05:48:59.007354 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7369677472616E5F61732E61732D636C6E742D6D73632D302E74782E6D73752E746F74616C3A317C630A5454434E332E7369677472616E5F6173702E6173702D636C6E742D6D73632D302E72782E7061636B6574732E746F74616C3A327C630A5454434E332E7369677472616E5F6173702E6173702D636C6E742D6D73632D302E72782E7061636B6574732E756E6B6E6F776E3A307C630A5454434E332E7369677472616E5F6173702E6173702D636C6E742D6D73632D302E74782E7061636B6574732E746F74616C3A317C630A5454434E332E6531696E702E302E68646C632E61626F72743A307C630A5454434E332E6531696E702E302E68646C632E6261645F6663733A307C630A5454434E332E6531696E702E302E68646C632E6F76657272756E3A307C630A5454434E332E6531696E702E302E616C61726D3A307C630A5454434E332E6531696E702E302E72656D6F7665643A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E756E6B6E6F776E5F706565723A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E7564742E72657365742E726571756573743A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E7564742E72657365742E61636B3A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E7564742E6572722E696E76616C3A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E6474312E6572722E696E76616C3A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E6474312E6C6F636174696F6E2E726573706F6E73655F737563636573733A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E6474312E6C6F636174696F6E2E726573706F6E73655F6661696C7572653A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E6474312E6273736C61702E74615F726571756573743A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6572722E696E76616C3A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6572722E636F6E6E5F6E6F745F72656164793A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6572722E73656E643A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E737563636573733A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E7564742E72657365742E726571756573743A307C63'O ("TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total:1|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c\nTTCN3.e1inp.0.hdlc.abort:0|c\nTTCN3.e1inp.0.hdlc.bad_fcs:0|c\nTTCN3.e1inp.0.hdlc.overrun:0|c\nTTCN3.e1inp.0.alarm:0|c\nTTCN3.e1inp.0.removed:0|c\nTTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.send:0|c\nTTCN3.smlc.0.bssmap_le.tx.success:0|c\nTTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c") } id 33 05:48:59.007378 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total:1|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c\nTTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c\nTTCN3.e1inp.0.hdlc.abort:0|c\nTTCN3.e1inp.0.hdlc.bad_fcs:0|c\nTTCN3.e1inp.0.hdlc.overrun:0|c\nTTCN3.e1inp.0.alarm:0|c\nTTCN3.e1inp.0.removed:0|c\nTTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c\nTTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.inval:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c\nTTCN3.smlc.0.bssmap_le.tx.err.send:0|c\nTTCN3.smlc.0.bssmap_le.tx.success:0|c\nTTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c" 05:48:59.007413 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total:1|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007433 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.007443 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.007453 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007463 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.007473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007483 27 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007493 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.007503 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.007513 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.007524 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007534 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.007545 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.007554 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007564 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007580 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.007590 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.007600 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007610 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.007620 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007629 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.007639 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007649 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.007659 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007669 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total:2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007683 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.007693 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.007702 27 StatsD_CodecPort.ttcn:36 match_begin data: :2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007712 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.007722 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007732 27 StatsD_CodecPort.ttcn:36 match_first data: 2|c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007742 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.007752 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.007762 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.007773 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007782 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.007797 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.007806 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007816 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007826 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.007836 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.007846 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007856 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.007865 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007875 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.007885 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007898 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.007908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007918 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown:0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007928 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.007938 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:59.007948 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007957 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.007967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.007977 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.007987 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.007997 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008007 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008018 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008031 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.008042 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008061 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008071 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.008081 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008091 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008100 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.008110 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008120 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.008130 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008140 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.008150 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008160 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total:1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008174 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.008184 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.008193 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008203 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.008213 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008223 27 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008233 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.008243 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008253 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008264 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008274 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.008284 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008294 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008304 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008317 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.008327 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008337 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008347 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.008357 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008367 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.008377 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008387 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.008397 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008407 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.e1inp.0.hdlc.abort:0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008421 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.008431 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.008440 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008450 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.008460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008470 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008480 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.008491 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008500 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008511 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008521 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.008531 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008541 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008551 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008561 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.008570 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008584 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008594 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.008604 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008614 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.008624 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008634 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.008644 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008654 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.e1inp.0.hdlc.bad_fcs:0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008664 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.008674 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.008683 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008697 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.008707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008718 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008728 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.008738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008748 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008758 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008768 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.008779 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008798 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008808 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.008818 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.008828 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008838 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.008848 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.008872 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008881 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.008891 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008901 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.e1inp.0.hdlc.overrun:0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008911 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.008921 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.008931 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008941 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.008951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.008961 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.008971 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.008982 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.008995 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009006 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009016 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.009026 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009036 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009046 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009056 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.009066 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009076 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009086 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.009096 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009106 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.009116 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009129 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.009140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009150 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.e1inp.0.alarm:0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009160 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.009170 27 StatsD_CodecPort.ttcn:36 match_first result: 19 05:48:59.009179 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009189 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.009199 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009210 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009219 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.009230 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009240 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009250 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009260 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.009271 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009290 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009304 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.009315 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009324 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009334 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.009344 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009354 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.009364 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009374 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.009384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009394 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.e1inp.0.removed:0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009404 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.009414 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.009424 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009438 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.009448 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009458 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009468 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.009479 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009489 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009500 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009510 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.009520 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009530 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009540 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009550 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.009560 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009570 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009579 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.009589 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009605 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.009615 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009625 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.009635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009645 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.unknown_peer:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009655 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.009665 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.009674 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009684 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.009694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009715 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009726 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.009737 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009747 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009758 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009767 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.009782 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009802 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009812 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.009822 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.009832 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009842 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.009852 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009862 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.009872 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009882 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.009892 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009902 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.request:0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009912 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.009922 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.009931 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009945 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.009955 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.009966 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.009975 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.009986 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.009996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010006 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010016 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.010027 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010036 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010046 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010056 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.010066 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010076 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010088 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.010099 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010111 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.010122 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010138 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.010148 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010158 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010168 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.010178 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.010188 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010198 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.010208 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010218 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010228 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.010239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010259 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010270 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.010280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010300 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010310 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.010320 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010334 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010344 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.010354 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010364 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.010374 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010384 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.010394 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010404 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.udt.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010414 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.010424 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.010434 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010444 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.010454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010464 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010474 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.010485 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010495 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010505 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010519 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.010530 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010539 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010549 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010559 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.010569 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010578 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010588 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.010598 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010608 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.010618 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010627 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.010637 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010647 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010657 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.010667 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.010677 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010686 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.010696 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010706 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010720 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.010730 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010751 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010760 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.010771 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010781 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010790 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010800 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.010810 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010820 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010829 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.010839 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010849 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.010859 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010868 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.010878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010888 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010898 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.010908 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:59.010917 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010931 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.010941 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.010951 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.010961 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.010971 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.010981 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.010992 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011001 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.011012 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011022 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011031 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011041 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.011051 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011061 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011070 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.011080 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011090 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.011100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011109 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.011119 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011129 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011139 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.011149 27 StatsD_CodecPort.ttcn:36 match_first result: 55 05:48:59.011158 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011172 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.011182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011192 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011202 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.011212 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011222 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011233 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011242 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.011253 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011262 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011272 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011282 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.011292 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011301 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011311 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.011321 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011331 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.011340 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011350 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.011360 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011370 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request:0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011380 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.011389 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.011399 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011409 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.011418 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011429 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011442 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.011453 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011462 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011473 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011483 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.011493 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011503 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011512 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011522 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.011532 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011542 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011551 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.011561 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011571 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.011581 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011590 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.011600 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011610 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.err.inval:0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011620 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.011629 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.011639 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011649 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.011659 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011669 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011679 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.011689 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011699 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011709 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011719 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.011729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011739 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011748 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011762 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.011772 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011782 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011792 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.011802 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011813 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.011824 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011833 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.011843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011853 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready:0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011863 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.011872 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.011882 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011892 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.011901 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011911 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011921 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.011931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011941 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.011951 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.011961 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.011971 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.011981 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.011991 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012000 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.012010 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012020 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012029 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.012039 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012049 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.012058 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012068 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.012078 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012088 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.err.send:0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012097 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.012111 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.012121 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012131 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.012140 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012150 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012160 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.012170 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012190 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012200 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.012210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012230 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012239 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.012249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012258 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012268 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.012278 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012287 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.012297 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012307 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.012317 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012326 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.success:0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012336 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.012346 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.012355 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012365 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.012375 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012385 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012394 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.012405 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012414 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012425 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012434 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.012445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012464 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012473 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.012483 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012493 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012502 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.012512 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012522 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.012532 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012541 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.012551 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012561 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.udt.reset.request:0|c 05:48:59.012575 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.012585 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.012595 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.012604 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.012614 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012624 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.012634 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.012644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012654 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.012664 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.012674 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.012684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.012693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.012703 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.012713 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.012722 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.012732 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.012742 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.012751 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.012761 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.012771 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.012781 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.012790 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.012800 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.012810 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.012820 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.012830 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.012839 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.012849 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.012859 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total", val := 2, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.bad_fcs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.overrun", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.alarm", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.removed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.unknown_peer", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.request", val := 0, mtype := "c", srate := omit } } 05:48:59.012933 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total", val := 2, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.bad_fcs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.overrun", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.alarm", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.removed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.unknown_peer", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.request", val := 0, mtype := "c", srate := omit } } } id 33 05:48:59.012956 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.012995 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.sigtran_as.as-clnt-msc-0.tx.msu.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.total", val := 2, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.rx.packets.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.sigtran_asp.asp-clnt-msc-0.tx.packets.total", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.bad_fcs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.hdlc.overrun", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.alarm", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.e1inp.0.removed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.unknown_peer", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.udt.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.response_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.bsslap.ta_request", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.inval", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.conn_not_ready", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.err.send", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.request", val := 0, mtype := "c", srate := omit } } } id 33 05:48:59.013016 27 StatsD_Checker.ttcn:199 Message with id 33 was extracted from the queue of STATS. 05:48:59.013131 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E736D6C632E302E6273736D61705F6C652E74782E7564742E72657365742E61636B3A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6474312E6C6F636174696F6E2E726573706F6E73653A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E72782E6474312E6C6F636174696F6E2E61626F72743A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6474312E6273736C61702E74615F726573706F6E73653A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6474312E6273736C61702E72656A6563743A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6474312E6273736C61702E72657365743A307C630A5454434E332E736D6C632E302E6273736D61705F6C652E74782E6474312E6273736C61702E61626F72743A307C630A5454434E332E6274732E36353533362E63687265712E746F74616C3A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F656D6572673A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F63616C6C3A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F7061673A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F706463683A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F6F746865723A307C630A5454434E332E6274732E36353533362E63687265712E617474656D707465645F756E6B6E6F776E3A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C3A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F656D6572673A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F63616C6C3A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F6C6F636174696F6E5F7570643A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F7061673A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F706463683A307C630A5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F6F746865723A307C63'O ("TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c\nTTCN3.bts.65536.chreq.total:0|c\nTTCN3.bts.65536.chreq.attempted_emerg:0|c\nTTCN3.bts.65536.chreq.attempted_call:0|c\nTTCN3.bts.65536.chreq.attempted_location_upd:0|c\nTTCN3.bts.65536.chreq.attempted_pag:0|c\nTTCN3.bts.65536.chreq.attempted_pdch:0|c\nTTCN3.bts.65536.chreq.attempted_other:0|c\nTTCN3.bts.65536.chreq.attempted_unknown:0|c\nTTCN3.bts.65536.chreq.successful:0|c\nTTCN3.bts.65536.chreq.successful_emerg:0|c\nTTCN3.bts.65536.chreq.successful_call:0|c\nTTCN3.bts.65536.chreq.successful_location_upd:0|c\nTTCN3.bts.65536.chreq.successful_pag:0|c\nTTCN3.bts.65536.chreq.successful_pdch:0|c\nTTCN3.bts.65536.chreq.successful_other:0|c") } id 34 05:48:59.013151 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c\nTTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c\nTTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c\nTTCN3.bts.65536.chreq.total:0|c\nTTCN3.bts.65536.chreq.attempted_emerg:0|c\nTTCN3.bts.65536.chreq.attempted_call:0|c\nTTCN3.bts.65536.chreq.attempted_location_upd:0|c\nTTCN3.bts.65536.chreq.attempted_pag:0|c\nTTCN3.bts.65536.chreq.attempted_pdch:0|c\nTTCN3.bts.65536.chreq.attempted_other:0|c\nTTCN3.bts.65536.chreq.attempted_unknown:0|c\nTTCN3.bts.65536.chreq.successful:0|c\nTTCN3.bts.65536.chreq.successful_emerg:0|c\nTTCN3.bts.65536.chreq.successful_call:0|c\nTTCN3.bts.65536.chreq.successful_location_upd:0|c\nTTCN3.bts.65536.chreq.successful_pag:0|c\nTTCN3.bts.65536.chreq.successful_pdch:0|c\nTTCN3.bts.65536.chreq.successful_other:0|c" 05:48:59.013191 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013201 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.013211 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.013221 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013231 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.013241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013251 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013265 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.013276 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013299 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013312 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.013325 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013337 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013349 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013362 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.013374 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013384 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013394 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.013404 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013418 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.013429 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013439 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.013449 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013459 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.dt1.location.response:0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013469 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.013479 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.013488 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013498 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.013508 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013518 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013532 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.013543 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013552 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013563 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013573 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.013583 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013593 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013603 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013613 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.013622 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013632 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013642 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.013652 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013666 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.013676 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013685 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.013695 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013709 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013720 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.013730 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.013740 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013749 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.013759 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013773 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013783 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.013794 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013806 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013817 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013829 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.013839 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.013849 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013859 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013869 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.013879 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.013888 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013902 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.013912 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013922 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.013932 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013941 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.013951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.013961 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.013971 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.013981 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:59.013990 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014000 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.014010 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014020 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014034 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.014045 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014054 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014065 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014075 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.014085 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014095 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014105 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014115 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.014124 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014134 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014144 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.014154 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014168 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.014178 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014188 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.014198 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014207 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014217 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.014227 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.014237 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014246 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.014256 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014266 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014280 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.014291 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014300 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014311 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014321 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.014331 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014341 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014350 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014360 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.014370 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014380 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014389 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.014399 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014409 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.014419 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014433 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.014443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014453 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset:0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014462 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.014472 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.014482 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014491 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.014501 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014511 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014521 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.014532 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014541 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014552 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014565 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.014576 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014586 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014595 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014605 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.014615 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014625 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014635 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.014644 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014654 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.014664 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014674 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.014684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014694 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort:0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014730 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.014741 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.014750 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014760 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.014770 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014780 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014790 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.014801 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014813 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014825 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014836 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.014846 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.014856 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014866 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014875 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.014885 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.014895 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014909 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.014919 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014929 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.014939 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014948 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.014958 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.014968 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.total:0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.014978 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.014988 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.014998 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015007 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.015017 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015027 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015041 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.015052 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015061 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015072 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015082 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.015092 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015111 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015121 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.015131 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015141 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015151 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.015160 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015170 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.015180 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015196 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.015207 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015217 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_emerg:0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015226 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.015236 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.015246 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015256 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.015266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015276 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015286 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.015296 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015306 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015317 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015326 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.015337 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015346 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015356 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015370 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.015380 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015390 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015400 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.015410 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015420 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.015430 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015439 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.015449 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015459 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_call:0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015469 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.015479 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.015489 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015499 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.015509 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015519 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015533 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.015543 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015553 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015564 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015574 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.015584 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015594 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015604 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015613 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.015623 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015633 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015643 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.015653 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015663 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.015673 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015683 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.015693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015703 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_location_upd:0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015717 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.015727 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.015736 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015746 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.015756 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015766 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015776 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.015787 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015797 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015809 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015820 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.015833 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.015843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015852 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015862 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.015872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.015882 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015892 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.015902 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015916 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.015926 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015936 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.015946 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.015956 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_pag:0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015966 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.015975 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.015985 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.015995 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.016005 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016015 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016025 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.016035 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016045 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016056 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016065 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.016076 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016086 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016095 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016109 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.016119 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016129 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016139 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.016148 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016158 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.016168 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016177 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.016187 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016197 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_pdch:0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016207 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.016217 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.016226 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016236 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.016246 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016256 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016265 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.016276 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016296 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016309 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.016320 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016330 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016339 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016349 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.016359 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016368 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016378 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.016388 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016398 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.016407 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016417 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.016427 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016437 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_other:0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016446 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.016456 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.016466 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016476 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.016485 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016496 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016505 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.016516 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016525 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016540 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016550 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.016560 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016570 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016579 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016589 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.016599 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016609 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016618 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.016628 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016638 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.016648 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016657 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.016667 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016677 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.attempted_unknown:0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016687 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.016697 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.016707 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016716 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.016726 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016736 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016746 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.016756 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016770 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016781 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016790 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.016801 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.016811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016821 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016830 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.016840 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.016850 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016860 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.016869 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016879 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.016889 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016899 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.016909 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016919 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful:0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016928 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.016938 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.016948 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016958 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.016968 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.016978 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.016987 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.016998 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017008 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017018 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017032 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.017042 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017052 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017062 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017072 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.017082 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017091 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017101 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.017111 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017121 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.017131 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017141 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.017151 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017161 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_emerg:0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017170 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.017180 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.017190 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017200 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.017210 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017220 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017229 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.017240 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017250 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017260 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017270 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.017280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017300 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017314 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.017324 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017334 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017343 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.017353 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017363 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.017373 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017382 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.017393 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017403 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_call:0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017412 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.017422 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.017432 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017442 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.017452 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017462 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017471 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.017482 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017492 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017502 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017512 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.017522 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017532 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017542 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017551 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.017562 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017571 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017581 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.017591 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017601 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.017611 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017625 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.017635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017645 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_location_upd:0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017654 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.017664 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.017674 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017683 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.017693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017703 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017717 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.017728 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017737 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017748 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017758 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.017768 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017777 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017787 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017797 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.017807 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017816 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017826 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.017836 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017845 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.017855 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017865 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.017875 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017885 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_pag:0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017894 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.017904 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.017914 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017923 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.017933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.017943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.017963 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.017972 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.017983 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.017992 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.018003 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.018012 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018027 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018036 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.018046 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.018056 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018065 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.018075 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018085 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.018095 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018104 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.018114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018124 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_pdch:0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018134 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.018144 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.018153 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018163 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.018173 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018183 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018192 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.018202 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.018212 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.018223 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018232 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.018242 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.018252 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018262 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018271 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.018281 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.018291 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018301 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.018310 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018320 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.018330 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018339 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.018349 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018359 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_other:0|c 05:48:59.018369 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.018378 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.018388 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.018398 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.018407 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018417 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.018427 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.018437 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.018447 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.018457 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.018467 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.018477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.018486 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.018496 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.018505 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.018515 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.018529 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.018539 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.018548 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.018558 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.018568 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.018577 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.018587 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.018599 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.018609 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.018619 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.018629 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.018638 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.018648 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.018658 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.location.response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_other", val := 0, mtype := "c", srate := omit } } 05:48:59.018757 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.location.response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_other", val := 0, mtype := "c", srate := omit } } } id 34 05:48:59.018780 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.018820 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.smlc.0.bssmap_le.tx.udt.reset.ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.location.response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.rx.dt1.location.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.ta_response", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.smlc.0.bssmap_le.tx.dt1.bsslap.abort", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_other", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.attempted_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_emerg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_call", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_location_upd", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pag", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.successful_other", val := 0, mtype := "c", srate := omit } } } id 34 05:48:59.018836 27 StatsD_Checker.ttcn:199 Message with id 34 was extracted from the queue of STATS. 05:48:59.018954 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E63687265712E7375636365737366756C5F756E6B6E6F776E3A307C630A5454434E332E6274732E36353533362E63687265712E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E63687265712E6D61785F64656C61795F65786365656465643A307C630A5454434E332E6274732E36353533362E6368616E2E72665F6661696C3A307C630A5454434E332E6274732E36353533362E6368616E2E72665F6661696C5F7463683A307C630A5454434E332E6274732E36353533362E6368616E2E72665F6661696C5F73646363683A307C630A5454434E332E6274732E36353533362E6368616E2E726C6C5F6572723A307C630A5454434E332E6274732E36353533362E6F6D6C5F6661696C3A307C630A5454434E332E6274732E36353533362E72736C5F6661696C3A307C630A5454434E332E6274732E36353533362E636F6465632E616D725F663A307C630A5454434E332E6274732E36353533362E636F6465632E616D725F683A307C630A5454434E332E6274732E36353533362E636F6465632E6566723A307C630A5454434E332E6274732E36353533362E636F6465632E66723A307C630A5454434E332E6274732E36353533362E636F6465632E68723A307C630A5454434E332E6274732E36353533362E706167696E672E617474656D707465643A307C630A5454434E332E6274732E36353533362E706167696E672E616C72656164793A307C630A5454434E332E6274732E36353533362E706167696E672E726573706F6E6465643A307C630A5454434E332E6274732E36353533362E706167696E672E657870697265643A307C630A5454434E332E6274732E36353533362E706167696E672E6E6F5F6163746976655F706167696E673A307C630A5454434E332E6274732E36353533362E706167696E672E6D73635F666C7573683A307C630A5454434E332E6274732E36353533362E706167696E672E6F7665726C6F61643A307C630A5454434E332E6274732E36353533362E6368616E5F6163742E746F74616C3A307C630A5454434E332E6274732E36353533362E6368616E5F6163742E73646363683A307C630A5454434E332E6274732E36353533362E6368616E5F6163742E7463683A307C630A5454434E332E6274732E36353533362E6368616E5F6163742E6E61636B3A307C630A5454434E332E6274732E36353533362E6368616E5F7463682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C630A5454434E332E6274732E36353533362E6368616E5F73646363682E6163746976655F6D696C6C697365636F6E64732E746F74616C3A307C63'O ("TTCN3.bts.65536.chreq.successful_unknown:0|c\nTTCN3.bts.65536.chreq.no_channel:0|c\nTTCN3.bts.65536.chreq.max_delay_exceeded:0|c\nTTCN3.bts.65536.chan.rf_fail:0|c\nTTCN3.bts.65536.chan.rf_fail_tch:0|c\nTTCN3.bts.65536.chan.rf_fail_sdcch:0|c\nTTCN3.bts.65536.chan.rll_err:0|c\nTTCN3.bts.65536.oml_fail:0|c\nTTCN3.bts.65536.rsl_fail:0|c\nTTCN3.bts.65536.codec.amr_f:0|c\nTTCN3.bts.65536.codec.amr_h:0|c\nTTCN3.bts.65536.codec.efr:0|c\nTTCN3.bts.65536.codec.fr:0|c\nTTCN3.bts.65536.codec.hr:0|c\nTTCN3.bts.65536.paging.attempted:0|c\nTTCN3.bts.65536.paging.already:0|c\nTTCN3.bts.65536.paging.responded:0|c\nTTCN3.bts.65536.paging.expired:0|c\nTTCN3.bts.65536.paging.no_active_paging:0|c\nTTCN3.bts.65536.paging.msc_flush:0|c\nTTCN3.bts.65536.paging.overload:0|c\nTTCN3.bts.65536.chan_act.total:0|c\nTTCN3.bts.65536.chan_act.sdcch:0|c\nTTCN3.bts.65536.chan_act.tch:0|c\nTTCN3.bts.65536.chan_act.nack:0|c\nTTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c") } id 35 05:48:59.018978 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.chreq.successful_unknown:0|c\nTTCN3.bts.65536.chreq.no_channel:0|c\nTTCN3.bts.65536.chreq.max_delay_exceeded:0|c\nTTCN3.bts.65536.chan.rf_fail:0|c\nTTCN3.bts.65536.chan.rf_fail_tch:0|c\nTTCN3.bts.65536.chan.rf_fail_sdcch:0|c\nTTCN3.bts.65536.chan.rll_err:0|c\nTTCN3.bts.65536.oml_fail:0|c\nTTCN3.bts.65536.rsl_fail:0|c\nTTCN3.bts.65536.codec.amr_f:0|c\nTTCN3.bts.65536.codec.amr_h:0|c\nTTCN3.bts.65536.codec.efr:0|c\nTTCN3.bts.65536.codec.fr:0|c\nTTCN3.bts.65536.codec.hr:0|c\nTTCN3.bts.65536.paging.attempted:0|c\nTTCN3.bts.65536.paging.already:0|c\nTTCN3.bts.65536.paging.responded:0|c\nTTCN3.bts.65536.paging.expired:0|c\nTTCN3.bts.65536.paging.no_active_paging:0|c\nTTCN3.bts.65536.paging.msc_flush:0|c\nTTCN3.bts.65536.paging.overload:0|c\nTTCN3.bts.65536.chan_act.total:0|c\nTTCN3.bts.65536.chan_act.sdcch:0|c\nTTCN3.bts.65536.chan_act.tch:0|c\nTTCN3.bts.65536.chan_act.nack:0|c\nTTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c\nTTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c" 05:48:59.019012 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.successful_unknown:0|c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019026 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.019036 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.019046 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019056 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.019066 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019077 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019086 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.019097 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019107 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019118 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019132 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.019142 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019152 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019162 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019172 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.019182 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019191 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019201 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.019211 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019221 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.019231 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019244 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.019254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019264 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.no_channel:0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019274 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.019284 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.019294 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019303 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.019313 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019323 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019333 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.019347 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019357 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019368 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019378 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.019388 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019398 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019408 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019417 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.019427 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019437 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019447 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.019457 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019471 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.019481 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019490 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.019501 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019511 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chreq.max_delay_exceeded:0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019520 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.019530 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.019540 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019550 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.019560 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019570 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019584 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.019594 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019615 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019625 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.019635 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019645 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019655 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019664 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.019674 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019684 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019694 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.019704 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019717 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.019727 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019737 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.019747 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019757 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan.rf_fail:0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019767 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.019777 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.019787 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019797 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.019807 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019817 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019831 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.019841 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019851 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019862 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019872 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.019882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.019892 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.019902 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019911 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.019921 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.019931 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019941 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.019951 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019965 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.019975 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.019984 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.019994 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020004 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan.rf_fail_tch:0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020014 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.020024 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.020034 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020044 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.020053 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020064 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020080 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.020090 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020100 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020111 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020121 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.020131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020150 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020160 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.020170 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020180 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020190 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.020200 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020213 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.020223 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020233 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.020243 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020253 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan.rf_fail_sdcch:0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020263 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.020273 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.020283 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020293 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.020303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020313 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020326 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.020337 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020347 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020358 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020368 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.020378 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020397 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020407 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.020417 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020427 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020437 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.020447 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020457 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.020471 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020481 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.020491 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020501 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan.rll_err:0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020511 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.020521 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.020530 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020540 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.020550 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020561 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020570 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.020581 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020591 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020601 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020615 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.020626 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020636 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020646 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020656 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.020666 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020675 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020685 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.020695 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020705 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.020715 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020725 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.020738 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020748 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.oml_fail:0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020758 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.020768 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.020778 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020788 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.020798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020808 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020818 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.020828 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020838 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020849 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020859 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.020869 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.020879 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020889 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020902 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.020912 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.020922 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020932 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.020942 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020952 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.020962 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.020972 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.020982 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.020992 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rsl_fail:0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021002 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.021011 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.021021 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021035 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.021045 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021055 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021065 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.021076 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021086 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021096 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021106 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.021117 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021127 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021136 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021146 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.021156 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021166 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021180 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.021190 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021199 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.021209 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021219 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.021229 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021239 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.codec.amr_f:0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021249 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.021259 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.021269 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021278 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.021288 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021298 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021312 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.021323 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021332 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021343 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021353 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.021363 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021373 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021383 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021392 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.021402 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021412 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021422 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.021432 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021442 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.021452 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021465 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.021476 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021486 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.codec.amr_h:0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021495 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.021505 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.021515 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021525 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.021535 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021545 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021555 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.021565 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021575 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021586 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021596 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.021606 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021626 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021639 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.021650 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021661 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021674 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.021685 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021695 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.021715 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021727 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.021737 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021747 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.codec.efr:0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021757 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.021767 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.021777 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021787 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.021797 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021807 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021821 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.021832 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021842 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021853 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021863 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.021873 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.021883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021893 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021903 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.021913 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.021923 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021932 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.021942 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021952 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.021962 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.021976 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.021986 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.021996 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.codec.fr:0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022006 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.022015 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.022025 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022035 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.022045 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022055 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022065 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.022075 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022085 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022095 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022105 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.022116 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022135 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022149 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.022159 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022168 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022178 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.022188 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022198 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.022208 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022218 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.022228 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022238 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.codec.hr:0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022247 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.022257 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.022267 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022277 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.022287 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022297 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022313 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.022323 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022333 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022344 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022354 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.022364 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022374 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022384 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022393 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.022403 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022413 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022423 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.022433 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022443 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.022453 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022463 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.022473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022483 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.attempted:0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022496 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.022506 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.022516 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022526 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.022536 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022546 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022556 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.022566 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022576 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022587 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022597 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.022607 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022617 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022627 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022636 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.022646 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022656 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022666 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.022676 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022690 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.022700 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022709 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.022719 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022729 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.already:0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022739 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.022749 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.022759 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022768 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.022778 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022789 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022798 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.022809 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022821 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022832 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022844 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.022855 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.022864 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022874 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022888 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.022898 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.022908 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022917 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.022927 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022937 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.022947 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022956 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.022966 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.022976 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.responded:0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.022986 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.022995 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.023005 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023015 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.023025 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023035 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023044 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.023055 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023064 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023075 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023088 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.023099 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023118 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023128 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.023138 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023147 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023157 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.023167 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023177 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.023186 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023196 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.023206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023216 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.expired:0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023225 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.023235 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.023245 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023254 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.023264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023274 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023288 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.023298 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023308 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023318 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023328 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.023338 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023348 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023358 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023367 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.023377 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023387 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023396 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.023406 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023416 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.023425 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023435 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.023445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023455 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.no_active_paging:0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023464 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.023474 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.023484 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023493 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.023503 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023518 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023527 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.023538 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023547 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023558 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023568 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.023578 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023587 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023597 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023607 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.023617 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023626 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023636 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.023646 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023655 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.023665 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023674 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.023684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023694 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.msc_flush:0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023704 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.023713 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.023723 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023733 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.023742 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023756 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023766 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.023777 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023786 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023797 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023807 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.023817 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.023829 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023840 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023851 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.023861 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.023870 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023880 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.023890 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023899 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.023909 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023919 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.023929 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023938 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.overload:0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023948 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.023958 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.023967 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.023977 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.023987 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.023997 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024010 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.024021 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024030 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024041 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024050 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.024060 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024070 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024080 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024089 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.024099 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024109 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024118 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.024128 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024138 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.024148 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024157 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.024167 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024177 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_act.total:0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024187 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.024197 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.024207 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024216 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.024226 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024236 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024246 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.024256 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024266 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024276 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024286 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.024296 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024310 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024320 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024330 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.024339 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024349 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024359 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.024368 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024378 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.024388 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024398 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.024408 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024417 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_act.sdcch:0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024427 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.024437 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.024447 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024457 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.024467 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024477 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024486 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.024497 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024507 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024517 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024527 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.024537 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024547 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024557 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024566 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.024576 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024586 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024595 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.024605 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024619 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.024629 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024638 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.024648 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024658 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_act.tch:0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024668 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.024678 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.024688 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024697 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.024707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024717 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024727 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.024737 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024747 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024758 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024767 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.024778 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024787 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024797 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024807 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.024817 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.024829 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024840 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.024851 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.024871 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024881 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.024891 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024901 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_act.nack:0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024910 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.024920 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.024930 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024939 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.024949 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.024960 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.024969 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.024984 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.024993 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.025004 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025014 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.025024 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.025034 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025043 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025053 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.025063 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.025073 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025082 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.025092 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025102 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.025112 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025121 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.025131 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025141 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch.active_milliseconds.total:0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025151 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.025161 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.025170 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025180 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.025190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025200 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025210 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.025220 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.025230 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.025241 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025250 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.025261 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.025270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025280 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025290 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.025300 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.025309 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025319 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.025329 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025338 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.025348 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025358 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.025368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025378 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch.active_milliseconds.total:0|c 05:48:59.025388 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.025398 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:59.025407 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.025417 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.025427 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025442 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.025452 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.025462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.025472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.025482 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.025492 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.025502 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.025512 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.025522 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.025532 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.025542 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.025551 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.025561 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.025571 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.025580 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.025590 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.025600 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.025610 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.025620 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.025629 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.025639 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.025649 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.025659 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.025668 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.025678 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } 05:48:59.025769 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } } id 35 05:48:59.025793 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.025836 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chreq.successful_unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chreq.max_delay_exceeded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rf_fail_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.rll_err", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.oml_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl_fail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_f", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.amr_h", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.efr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.fr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.codec.hr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.msc_flush", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.paging.overload", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_act.nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_tch.active_milliseconds.total", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.active_milliseconds.total", val := 0, mtype := "c", srate := omit } } } id 35 05:48:59.025857 27 StatsD_Checker.ttcn:199 Message with id 35 was extracted from the queue of STATS. 05:48:59.025980 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E6368616E5F7463682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E36353533362E6368616E5F73646363682E66756C6C795F65737461626C69736865643A307C630A5454434E332E6274732E36353533362E72736C2E756E6B6E6F776E3A307C630A5454434E332E6274732E36353533362E72736C2E6970615F6E61636B3A307C630A5454434E332E6274732E36353533362E72736C2E64656C6574655F696E643A307C630A5454434E332E6274732E36353533362E6368616E2E6D6F64655F6D6F646966795F6E61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F61637469765F61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72665F72656C656173655F61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E6368616E5F61637469765F6E61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E72665F6368616E5F72656C5F61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E7674793A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E6576656E742E74735F6572726F723A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72725F6368616E5F6D6F64655F6D6F646966795F61636B3A307C630A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E2E66726F6D5F73746174652E776169745F72736C5F6368616E5F6D6F64655F6D6F646966795F61636B3A307C63'O ("TTCN3.bts.65536.chan_tch.fully_established:0|c\nTTCN3.bts.65536.chan_sdcch.fully_established:0|c\nTTCN3.bts.65536.rsl.unknown:0|c\nTTCN3.bts.65536.rsl.ipa_nack:0|c\nTTCN3.bts.65536.rsl.delete_ind:0|c\nTTCN3.bts.65536.chan.mode_modify_nack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.unused:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.borken:0|c\nTTCN3.bts.65536.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.65536.lchan_borken.event.vty:0|c\nTTCN3.bts.65536.lchan_borken.event.teardown:0|c\nTTCN3.bts.65536.lchan_borken.event.ts_error:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c") } id 36 05:48:59.026006 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.chan_tch.fully_established:0|c\nTTCN3.bts.65536.chan_sdcch.fully_established:0|c\nTTCN3.bts.65536.rsl.unknown:0|c\nTTCN3.bts.65536.rsl.ipa_nack:0|c\nTTCN3.bts.65536.rsl.delete_ind:0|c\nTTCN3.bts.65536.chan.mode_modify_nack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.unused:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.borken:0|c\nTTCN3.bts.65536.lchan_borken.from_state.unknown:0|c\nTTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c\nTTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c\nTTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c\nTTCN3.bts.65536.lchan_borken.event.vty:0|c\nTTCN3.bts.65536.lchan_borken.event.teardown:0|c\nTTCN3.bts.65536.lchan_borken.event.ts_error:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c\nTTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c" 05:48:59.026040 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch.fully_established:0|c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026050 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.026060 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.026070 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026080 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.026090 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026100 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026115 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.026125 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026135 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026146 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026156 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.026166 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026176 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026186 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026196 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.026206 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026215 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026225 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.026235 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026249 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.026259 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026269 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.026279 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026289 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch.fully_established:0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026298 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.026308 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.026318 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026331 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.026341 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026351 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026361 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.026372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026381 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026392 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026402 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.026412 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026422 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026432 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026441 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.026451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026461 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026475 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.026485 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026494 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.026504 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026514 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.026524 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026534 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rsl.unknown:0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026544 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.026553 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.026563 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026577 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.026587 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026597 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026607 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.026617 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026627 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026637 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026647 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.026657 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026667 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026677 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026686 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.026696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026706 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026720 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.026730 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026740 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.026749 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026759 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.026769 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026779 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rsl.ipa_nack:0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026789 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.026799 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.026808 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026822 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.026832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026842 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026852 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.026862 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026882 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026892 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.026903 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.026912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.026922 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026932 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.026942 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.026951 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026965 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.026975 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.026985 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.026995 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027004 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.027014 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027024 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rsl.delete_ind:0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027034 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.027044 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.027053 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027067 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.027077 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027087 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027097 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.027107 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027117 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027127 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027137 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.027147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027167 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027176 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.027186 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027196 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027206 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.027219 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027229 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.027239 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027249 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.027259 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027269 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan.mode_modify_nack:0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027278 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.027288 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.027298 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027308 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.027318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027328 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027341 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.027352 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027361 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027372 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027382 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.027392 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027402 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027411 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027421 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.027431 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027441 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027451 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.027460 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027474 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.027484 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027494 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.027504 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027514 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.unused:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027524 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.027533 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:59.027543 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027553 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.027563 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027573 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027582 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.027593 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027602 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027613 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027627 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.027637 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027647 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027657 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027666 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.027676 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027686 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027696 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.027705 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027715 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.027725 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027735 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.027745 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027755 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027769 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.027779 27 StatsD_CodecPort.ttcn:36 match_first result: 54 05:48:59.027789 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027798 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.027808 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027818 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027828 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.027839 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027848 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027859 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027869 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.027879 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.027889 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.027899 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027909 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.027925 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.027935 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027944 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.027954 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027964 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.027974 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.027984 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.027994 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028004 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028013 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.028023 27 StatsD_CodecPort.ttcn:36 match_first result: 59 05:48:59.028033 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028043 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.028053 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028063 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028077 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.028087 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028097 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028108 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028118 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.028128 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028138 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028148 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028158 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.028167 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028177 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028187 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.028197 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028207 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.028217 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028231 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.028241 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028251 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.borken:0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028261 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.028271 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:59.028280 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028290 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.028300 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028310 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028320 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.028331 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028340 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028351 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028361 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.028372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028381 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028391 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028401 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.028411 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028421 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028435 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.028445 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028455 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.028465 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028475 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.028485 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028495 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.unknown:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028504 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.028514 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.028524 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028534 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.028544 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028554 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028564 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.028575 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028584 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028595 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028610 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.028620 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028640 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028650 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.028660 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028669 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028679 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.028689 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028699 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.028708 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028718 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.028728 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028738 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.chan_activ_ack:0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028747 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.028757 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.028767 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028776 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.028786 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028796 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028816 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.028827 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028837 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028847 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028857 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.028867 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.028877 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028887 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028896 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.028906 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.028916 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028926 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.028935 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028945 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.028955 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028964 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.028974 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.028984 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.chan_activ_nack:0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.028994 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.029003 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.029013 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029027 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.029037 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029047 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029056 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.029067 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029076 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029087 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029096 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.029107 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029126 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029136 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.029146 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029155 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029165 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.029175 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029184 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.029194 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029204 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.029213 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029223 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack:0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029237 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.029247 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.029257 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029266 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.029276 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029286 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029296 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.029306 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029316 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029326 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029336 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.029346 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029356 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029365 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029375 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.029385 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029395 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029404 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.029414 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029424 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.029433 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029443 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.029453 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029463 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.vty:0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029472 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.029482 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.029492 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029506 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.029516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029526 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029536 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.029546 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029556 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029567 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029576 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.029586 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029606 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029615 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.029625 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029635 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029644 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.029654 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029664 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.029674 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029683 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.029693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029703 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.teardown:0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029724 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.029734 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.029743 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029753 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.029763 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029773 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029783 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.029793 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029807 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029818 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029828 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.029841 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.029852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029863 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029872 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.029882 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.029892 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029901 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.029911 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029921 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.029931 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029941 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.029951 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.029961 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.event.ts_error:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029970 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.029980 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.029990 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.029999 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.030009 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030019 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030029 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.030039 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030049 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.030059 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030069 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.030079 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030089 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030099 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030108 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.030118 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.030128 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030141 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.030151 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030161 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.030171 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030181 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.030191 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030201 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack:0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030210 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.030220 27 StatsD_CodecPort.ttcn:36 match_first result: 68 05:48:59.030230 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030240 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.030249 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030260 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030269 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.030280 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030289 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.030300 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030310 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.030320 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030330 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030340 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030349 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.030359 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.030369 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030379 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.030389 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030398 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.030408 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030418 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.030428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030438 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack:0|c 05:48:59.030447 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.030457 27 StatsD_CodecPort.ttcn:36 match_first result: 69 05:48:59.030467 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.030477 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.030486 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030497 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.030506 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.030517 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030527 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.030537 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.030547 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.030557 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.030567 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.030577 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.030586 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.030596 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.030606 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.030620 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.030630 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.030639 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.030649 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.030659 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.030669 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.030679 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.030688 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.030698 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.030708 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.030718 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.030728 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.030738 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } 05:48:59.030812 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } } id 36 05:48:59.030835 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.030873 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chan_tch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch.fully_established", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.ipa_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.rsl.delete_ind", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.chan.mode_modify_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rf_release_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.chan_activ_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.rf_chan_rel_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.vty", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.event.ts_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rr_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken.from_state.wait_rsl_chan_mode_modify_ack", val := 0, mtype := "c", srate := omit } } } id 36 05:48:59.030889 27 StatsD_Checker.ttcn:199 Message with id 36 was extracted from the queue of STATS. 05:48:59.031004 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E6E6F745F696E697469616C697A65643A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E756E757365643A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F6163743A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E706463683A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E776169745F706463685F64656163743A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E696E5F7573653A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E626F726B656E3A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E66726F6D5F73746174652E756E6B6E6F776E3A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E6576656E742E706463685F6163745F61636B5F6E61636B3A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E6576656E742E706463685F64656163745F61636B5F6E61636B3A307C630A5454434E332E6274732E36353533362E74735F626F726B656E2E6576656E742E74656172646F776E3A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E617474656D707465643A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E617474656D707465645F7369676E3A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E617474656D707465645F7370656563683A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E636F6D706C657465645F7369676E3A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E636F6D706C657465645F7370656563683A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E73746F707065643A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E73746F707065645F7369676E3A307C630A5454434E332E6274732E36353533362E61737369676E6D656E742E73746F707065645F7370656563683A307C63'O ("TTCN3.bts.65536.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.65536.ts_borken.from_state.unused:0|c\nTTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.65536.ts_borken.from_state.pdch:0|c\nTTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.65536.ts_borken.from_state.in_use:0|c\nTTCN3.bts.65536.ts_borken.from_state.borken:0|c\nTTCN3.bts.65536.ts_borken.from_state.unknown:0|c\nTTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.65536.ts_borken.event.teardown:0|c\nTTCN3.bts.65536.assignment.attempted:0|c\nTTCN3.bts.65536.assignment.attempted_sign:0|c\nTTCN3.bts.65536.assignment.attempted_speech:0|c\nTTCN3.bts.65536.assignment.completed:0|c\nTTCN3.bts.65536.assignment.completed_sign:0|c\nTTCN3.bts.65536.assignment.completed_speech:0|c\nTTCN3.bts.65536.assignment.stopped:0|c\nTTCN3.bts.65536.assignment.stopped_sign:0|c\nTTCN3.bts.65536.assignment.stopped_speech:0|c") } id 37 05:48:59.031028 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.ts_borken.from_state.not_initialized:0|c\nTTCN3.bts.65536.ts_borken.from_state.unused:0|c\nTTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c\nTTCN3.bts.65536.ts_borken.from_state.pdch:0|c\nTTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c\nTTCN3.bts.65536.ts_borken.from_state.in_use:0|c\nTTCN3.bts.65536.ts_borken.from_state.borken:0|c\nTTCN3.bts.65536.ts_borken.from_state.unknown:0|c\nTTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c\nTTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c\nTTCN3.bts.65536.ts_borken.event.teardown:0|c\nTTCN3.bts.65536.assignment.attempted:0|c\nTTCN3.bts.65536.assignment.attempted_sign:0|c\nTTCN3.bts.65536.assignment.attempted_speech:0|c\nTTCN3.bts.65536.assignment.completed:0|c\nTTCN3.bts.65536.assignment.completed_sign:0|c\nTTCN3.bts.65536.assignment.completed_speech:0|c\nTTCN3.bts.65536.assignment.stopped:0|c\nTTCN3.bts.65536.assignment.stopped_sign:0|c\nTTCN3.bts.65536.assignment.stopped_speech:0|c" 05:48:59.031061 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.not_initialized:0|c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031075 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.031085 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:59.031095 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031105 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.031115 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031126 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031136 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.031146 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031156 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031167 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031177 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.031187 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031197 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031207 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031223 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.031233 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031242 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031252 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.031262 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031272 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.031282 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.031302 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031312 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.unused:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031326 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.031336 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.031346 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031356 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.031366 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031376 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031386 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.031396 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031406 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031417 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031430 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.031441 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031451 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031461 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031471 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.031481 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031490 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031500 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.031510 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031520 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.031530 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031544 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.031554 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031564 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act:0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031574 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.031584 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.031593 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031603 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.031613 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031623 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031633 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.031644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031653 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031664 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031678 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.031688 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031708 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031718 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.031728 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031738 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.031757 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031767 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.031777 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031787 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.031797 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031811 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.pdch:0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031821 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.031831 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.031840 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.031860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031870 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031880 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.031891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031900 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031911 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031921 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.031931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.031941 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.031951 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031965 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.031975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.031985 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.031995 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.032005 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032014 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.032024 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032034 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.032044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032054 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact:0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032068 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.032078 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:59.032088 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032097 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.032107 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032117 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032127 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.032138 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032147 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032158 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032168 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.032178 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032188 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032198 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032208 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.032218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032231 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032242 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.032251 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032261 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.032271 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032281 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.032291 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032301 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.in_use:0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032311 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.032321 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.032331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032344 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.032354 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032365 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032375 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.032385 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032395 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032406 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032416 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.032426 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032436 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032446 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032456 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.032466 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032475 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032485 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.032495 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032509 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.032519 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032529 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.032539 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032549 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.borken:0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032559 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.032569 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.032579 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032589 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.032599 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032609 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032619 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.032629 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032639 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032650 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032664 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.032675 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032685 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032695 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032704 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.032714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032724 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032734 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.032744 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032754 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.032764 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032773 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.032783 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032793 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.from_state.unknown:0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032807 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.032817 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.032827 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032837 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.032846 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032857 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032867 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.032877 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032887 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032897 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032907 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.032918 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.032927 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.032937 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032947 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.032957 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.032967 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.032977 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.032987 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033000 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.033010 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033020 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.033030 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033040 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033050 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.033060 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.033070 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033080 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.033089 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033100 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033110 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.033120 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033130 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033140 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033154 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.033165 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033175 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033185 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033195 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.033204 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033214 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033224 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.033234 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033244 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.033254 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033264 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.033274 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033284 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack:0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033294 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.033304 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:59.033314 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033323 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.033339 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033350 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033359 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.033370 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033380 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033390 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033400 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.033410 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033420 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033430 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033440 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.033450 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033459 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033469 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.033479 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033488 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.033498 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033508 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.033518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033528 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken.event.teardown:0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033541 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.033551 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.033561 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033571 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.033580 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033591 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033600 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.033610 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033620 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033631 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033640 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.033651 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033660 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033670 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033679 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.033689 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033699 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033714 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.033724 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033733 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.033743 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033757 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.033767 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033777 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.attempted:0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033786 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.033796 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.033806 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033815 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.033825 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033835 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033845 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.033855 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033865 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033875 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033885 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.033895 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.033905 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.033914 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033924 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.033934 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.033944 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033953 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.033963 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033977 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.033987 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.033996 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.034006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034016 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.attempted_sign:0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034026 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.034035 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.034045 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034055 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.034064 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034074 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034084 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.034094 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034104 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034115 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034124 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.034134 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034144 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034154 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034163 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.034173 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034183 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034193 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.034202 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034216 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.034226 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034236 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.034245 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034255 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.attempted_speech:0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034265 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.034275 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.034284 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034294 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.034304 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034314 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034323 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.034334 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034343 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034354 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034363 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.034374 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034393 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034402 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.034412 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034422 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034432 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.034441 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034451 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.034465 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034475 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.034485 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034495 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.completed:0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034504 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.034514 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.034524 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034533 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.034543 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034553 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034563 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.034573 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034583 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034593 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034603 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.034613 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034623 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034632 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034642 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.034652 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034661 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034671 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.034681 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034690 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.034700 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034710 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.034720 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034729 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.completed_sign:0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034743 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.034753 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.034762 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034772 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.034782 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034792 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034801 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.034812 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034821 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034832 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034841 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.034852 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.034861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034871 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034880 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.034890 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.034900 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034910 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.034919 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034929 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.034939 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034948 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.034958 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.034968 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.completed_speech:0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.034977 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.034987 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.034997 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035006 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.035016 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035026 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035036 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.035046 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035056 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035066 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035076 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.035090 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035100 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035110 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035119 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.035129 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035139 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035148 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.035158 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035168 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.035177 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035187 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.035197 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035207 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.stopped:0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035216 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.035226 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.035236 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035245 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.035255 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035265 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035275 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.035285 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035295 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035305 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035315 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.035325 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035334 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035344 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035354 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.035364 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035373 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035383 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.035393 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035402 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.035412 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035422 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.035432 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035442 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.stopped_sign:0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035451 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.035461 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.035471 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035480 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.035490 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035505 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035515 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.035525 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035535 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035545 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035555 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.035565 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035575 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035585 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035595 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.035604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035614 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035624 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.035634 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035643 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.035653 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035663 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.035673 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035682 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.stopped_speech:0|c 05:48:59.035692 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.035702 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.035712 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.035721 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.035731 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035741 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.035751 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.035761 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035771 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.035781 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.035791 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.035801 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.035811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.035821 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.035831 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.035841 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.035853 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.035864 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.035875 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.035884 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.035894 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.035904 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.035914 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.035924 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.035933 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.035943 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.035953 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.035963 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.035973 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.035982 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_speech", val := 0, mtype := "c", srate := omit } } 05:48:59.036061 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_speech", val := 0, mtype := "c", srate := omit } } } id 37 05:48:59.036081 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.036119 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.ts_borken.from_state.not_initialized", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_act", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.pdch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.wait_pdch_deact", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.in_use", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.borken", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.from_state.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_act_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.pdch_deact_ack_nack", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.ts_borken.event.teardown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.attempted_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.completed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.stopped_speech", val := 0, mtype := "c", srate := omit } } } id 37 05:48:59.036139 27 StatsD_Checker.ttcn:199 Message with id 37 was extracted from the queue of STATS. 05:48:59.036253 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg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bts.65536.assignment.no_channel:0|c\nTTCN3.bts.65536.assignment.no_channel_sign:0|c\nTTCN3.bts.65536.assignment.no_channel_speech:0|c\nTTCN3.bts.65536.assignment.timeout:0|c\nTTCN3.bts.65536.assignment.timeout_sign:0|c\nTTCN3.bts.65536.assignment.timeout_speech:0|c\nTTCN3.bts.65536.assignment.failed:0|c\nTTCN3.bts.65536.assignment.failed_sign:0|c\nTTCN3.bts.65536.assignment.failed_speech:0|c\nTTCN3.bts.65536.assignment.error:0|c\nTTCN3.bts.65536.assignment.error_sign:0|c\nTTCN3.bts.65536.assignment.error_speech:0|c\nTTCN3.bts.65536.location_update.accept:0|c\nTTCN3.bts.65536.location_update.reject:0|c\nTTCN3.bts.65536.location_update.detach:0|c\nTTCN3.bts.65536.location_update.unknown:0|c\nTTCN3.bts.65536.handover.attempted:0|c\nTTCN3.bts.65536.handover.completed:0|c\nTTCN3.bts.65536.handover.stopped:0|c\nTTCN3.bts.65536.handover.no_channel:0|c\nTTCN3.bts.65536.handover.timeout:0|c\nTTCN3.bts.65536.handover.failed:0|c\nTTCN3.bts.65536.handover.error:0|c\nTTCN3.bts.65536.intra_cell_ho.attempted:0|c") } id 38 05:48:59.036277 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.assignment.no_channel:0|c\nTTCN3.bts.65536.assignment.no_channel_sign:0|c\nTTCN3.bts.65536.assignment.no_channel_speech:0|c\nTTCN3.bts.65536.assignment.timeout:0|c\nTTCN3.bts.65536.assignment.timeout_sign:0|c\nTTCN3.bts.65536.assignment.timeout_speech:0|c\nTTCN3.bts.65536.assignment.failed:0|c\nTTCN3.bts.65536.assignment.failed_sign:0|c\nTTCN3.bts.65536.assignment.failed_speech:0|c\nTTCN3.bts.65536.assignment.error:0|c\nTTCN3.bts.65536.assignment.error_sign:0|c\nTTCN3.bts.65536.assignment.error_speech:0|c\nTTCN3.bts.65536.location_update.accept:0|c\nTTCN3.bts.65536.location_update.reject:0|c\nTTCN3.bts.65536.location_update.detach:0|c\nTTCN3.bts.65536.location_update.unknown:0|c\nTTCN3.bts.65536.handover.attempted:0|c\nTTCN3.bts.65536.handover.completed:0|c\nTTCN3.bts.65536.handover.stopped:0|c\nTTCN3.bts.65536.handover.no_channel:0|c\nTTCN3.bts.65536.handover.timeout:0|c\nTTCN3.bts.65536.handover.failed:0|c\nTTCN3.bts.65536.handover.error:0|c\nTTCN3.bts.65536.intra_cell_ho.attempted:0|c" 05:48:59.036312 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.no_channel:0|c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036322 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.036332 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.036342 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036356 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.036366 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036376 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036386 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.036397 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036406 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036417 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036427 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.036438 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036447 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036457 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036467 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.036477 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036487 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036501 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.036511 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036521 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.036531 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036541 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.036551 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036561 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.no_channel_sign:0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036574 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.036584 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.036594 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036604 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.036614 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036624 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036634 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.036644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036654 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036664 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036674 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.036684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036704 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036720 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.036730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036740 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036749 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.036759 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036769 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.036779 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036789 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.036798 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036808 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.no_channel_speech:0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036822 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.036832 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.036842 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036851 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.036861 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036881 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.036891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036901 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036912 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036922 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.036932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.036945 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.036955 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036965 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.036975 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.036985 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.036994 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.037004 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037014 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.037024 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037034 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.037044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037057 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.timeout:0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037067 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.037077 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.037087 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037096 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.037106 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037116 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037126 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.037136 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037146 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037157 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037166 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.037181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037200 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037210 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.037220 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037230 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037239 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.037249 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037259 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.037269 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037279 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.037288 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037302 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.timeout_sign:0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037312 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.037322 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.037332 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.037351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.037381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037402 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037411 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.037422 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037441 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037455 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.037465 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037474 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037484 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.037494 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037504 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.037514 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037523 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.037533 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037543 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.timeout_speech:0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037557 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.037567 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.037576 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037586 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.037596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037606 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037616 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.037626 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037636 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037646 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037656 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.037666 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037676 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037686 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037699 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.037723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037733 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037743 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.037753 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037763 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.037773 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037782 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.037792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037802 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.failed:0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037812 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.037822 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.037832 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037845 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.037855 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037866 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037875 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.037886 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037896 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037906 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037916 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.037926 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.037936 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.037946 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037956 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.037966 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.037975 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.037989 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.037999 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038009 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.038019 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038029 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.038038 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038048 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.failed_sign:0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038058 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.038068 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.038078 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038087 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.038097 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038108 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038121 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.038132 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038141 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038152 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038162 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.038172 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038192 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038201 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.038211 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038221 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038231 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.038240 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038250 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.038260 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038274 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.038284 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038294 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.failed_speech:0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038304 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.038314 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.038324 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038334 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.038343 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038354 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038363 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.038374 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038383 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038394 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038404 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.038414 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038424 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038434 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038448 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.038458 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038468 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038478 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.038488 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038498 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.038508 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038517 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.038527 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038537 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.error:0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038547 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.038557 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.038567 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038581 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.038591 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038602 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038612 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.038622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038642 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038652 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.038663 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038672 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038682 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038692 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.038702 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038712 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038722 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.038731 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038741 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.038751 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038767 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.038778 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038788 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.error_sign:0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038798 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.038808 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.038817 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038827 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.038837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038847 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038857 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.038868 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038877 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038888 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038898 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.038909 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.038918 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.038928 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038942 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.038952 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.038962 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038972 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.038982 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.038992 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.039002 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039011 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.039021 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039031 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.assignment.error_speech:0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039041 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.039051 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.039061 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039071 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.039081 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039091 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039105 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.039116 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039125 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039136 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039146 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.039156 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039166 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039176 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039186 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.039196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039206 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039216 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.039226 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039236 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.039246 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039255 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.039265 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039275 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.location_update.accept:0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039289 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.039299 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.039309 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039319 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.039329 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039340 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039349 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.039360 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039370 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039380 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039390 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.039400 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039410 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039420 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039430 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.039440 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039449 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039459 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.039469 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039479 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.039488 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039502 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.039512 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039522 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.location_update.reject:0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039532 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.039542 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.039551 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039561 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.039571 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039581 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039590 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.039601 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039610 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039621 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039631 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.039641 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039650 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039660 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039670 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.039680 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039689 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039703 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.039713 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039723 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.039732 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039742 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.039752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039762 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.location_update.detach:0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039771 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.039781 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.039791 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039800 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.039810 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039820 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039830 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.039840 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039850 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039860 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039870 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.039880 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.039890 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.039899 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039909 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.039919 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.039932 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039942 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.039952 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039961 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.039971 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.039981 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.039991 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040000 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.location_update.unknown:0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040010 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.040020 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.040029 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040039 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.040049 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040059 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040068 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.040079 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040088 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040099 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040108 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.040119 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040128 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040138 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040152 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.040162 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040171 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040181 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.040191 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040200 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.040210 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040220 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.040230 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040239 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.attempted:0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040249 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.040259 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.040269 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040278 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.040288 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040298 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040308 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.040318 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040327 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040338 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040348 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.040358 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040377 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040387 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.040397 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040406 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040427 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.040437 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040447 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.040457 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040466 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.040476 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040486 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.completed:0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040496 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.040506 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.040516 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040525 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.040535 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040545 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040555 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.040566 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040575 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040586 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040596 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.040606 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040626 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040635 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.040645 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040655 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040665 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.040674 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040684 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.040698 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040708 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.040718 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040728 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.stopped:0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040738 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.040748 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.040757 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040767 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.040777 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040787 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040797 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.040807 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040817 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040828 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040838 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.040848 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.040858 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040867 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040877 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.040887 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.040897 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040907 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.040917 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040926 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.040936 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040946 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.040956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.040966 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.no_channel:0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.040975 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.040985 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.040995 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041009 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.041019 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041029 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041038 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.041049 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041058 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041069 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041079 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.041089 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041099 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041109 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041118 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.041128 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041138 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041147 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.041157 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041167 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.041177 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041186 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.041196 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041206 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.timeout:0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041216 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.041225 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.041235 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041245 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.041254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041264 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041274 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.041284 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041294 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041304 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041314 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.041324 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041334 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041344 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041353 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.041363 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041373 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041386 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.041396 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041406 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.041416 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041425 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.041435 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041445 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.failed:0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041455 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.041465 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.041475 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041484 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.041494 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041504 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041514 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.041524 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041534 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041545 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041554 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.041565 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041574 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041584 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041594 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.041604 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041613 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041623 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.041633 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041642 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.041652 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041662 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.041672 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041682 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.handover.error:0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041691 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.041701 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.041716 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041725 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.041735 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041745 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041755 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.041765 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041775 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041786 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041796 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.041807 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.041816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041826 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041840 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.041850 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.041860 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041869 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.041879 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041889 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.041899 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041908 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.041919 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041929 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.attempted:0|c 05:48:59.041938 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.041948 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.041958 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.041967 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.041977 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.041987 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.041997 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.042008 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042017 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.042028 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.042037 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.042048 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042058 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042067 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.042077 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.042087 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.042097 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.042106 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.042116 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.042126 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.042136 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.042145 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.042155 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.042165 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.042175 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.042185 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.042195 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.042204 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.042214 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.042224 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } 05:48:59.042311 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 38 05:48:59.042330 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.042371 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.no_channel_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.timeout_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.failed_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_sign", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.assignment.error_speech", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.accept", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.detach", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.location_update.unknown", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 38 05:48:59.042392 27 StatsD_Checker.ttcn:199 Message with id 38 was extracted from the queue of STATS. 05:48:59.042511 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E73746F707065643A307C630A5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E74696D656F75743A307C630A5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E6661696C65643A307C630A5454434E332E6274732E36353533362E696E7472615F63656C6C5F686F2E6572726F723A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E36353533362E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E617474656D707465643A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6274732E36353533362E696E636F6D696E675F696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C63'O ("TTCN3.bts.65536.intra_cell_ho.completed:0|c\nTTCN3.bts.65536.intra_cell_ho.stopped:0|c\nTTCN3.bts.65536.intra_cell_ho.no_channel:0|c\nTTCN3.bts.65536.intra_cell_ho.timeout:0|c\nTTCN3.bts.65536.intra_cell_ho.failed:0|c\nTTCN3.bts.65536.intra_cell_ho.error:0|c\nTTCN3.bts.65536.intra_bsc_ho.attempted:0|c\nTTCN3.bts.65536.intra_bsc_ho.completed:0|c\nTTCN3.bts.65536.intra_bsc_ho.stopped:0|c\nTTCN3.bts.65536.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.65536.intra_bsc_ho.timeout:0|c\nTTCN3.bts.65536.intra_bsc_ho.failed:0|c\nTTCN3.bts.65536.intra_bsc_ho.error:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.65536.interbsc_ho_out.attempted:0|c\nTTCN3.bts.65536.interbsc_ho_out.completed:0|c") } id 39 05:48:59.042537 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.intra_cell_ho.completed:0|c\nTTCN3.bts.65536.intra_cell_ho.stopped:0|c\nTTCN3.bts.65536.intra_cell_ho.no_channel:0|c\nTTCN3.bts.65536.intra_cell_ho.timeout:0|c\nTTCN3.bts.65536.intra_cell_ho.failed:0|c\nTTCN3.bts.65536.intra_cell_ho.error:0|c\nTTCN3.bts.65536.intra_bsc_ho.attempted:0|c\nTTCN3.bts.65536.intra_bsc_ho.completed:0|c\nTTCN3.bts.65536.intra_bsc_ho.stopped:0|c\nTTCN3.bts.65536.intra_bsc_ho.no_channel:0|c\nTTCN3.bts.65536.intra_bsc_ho.timeout:0|c\nTTCN3.bts.65536.intra_bsc_ho.failed:0|c\nTTCN3.bts.65536.intra_bsc_ho.error:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c\nTTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c\nTTCN3.bts.65536.interbsc_ho_out.attempted:0|c\nTTCN3.bts.65536.interbsc_ho_out.completed:0|c" 05:48:59.042572 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.completed:0|c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042582 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.042592 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.042602 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042616 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.042626 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042636 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042646 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.042657 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042667 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.042677 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042687 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.042698 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042717 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042727 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.042737 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.042747 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042761 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.042771 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042781 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.042791 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042801 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.042811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042821 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.stopped:0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042835 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.042845 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.042855 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042864 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.042874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042894 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.042905 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042915 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.042925 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042935 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.042946 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.042955 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.042965 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.042980 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.042990 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043000 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043010 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.043020 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043030 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.043040 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043050 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.043060 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043070 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.no_channel:0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043084 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.043094 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.043104 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043113 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.043123 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043134 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043144 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.043154 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043164 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043175 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043185 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.043195 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043205 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043215 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043229 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.043239 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043249 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043259 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.043268 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043278 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.043288 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043298 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.043308 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043318 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.timeout:0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043332 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.043342 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.043352 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043362 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.043372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043382 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043392 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.043403 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043412 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043423 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043433 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.043444 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043457 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043467 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043478 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.043488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043497 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043507 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.043517 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043527 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.043537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043547 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.043557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043567 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.failed:0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043581 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.043591 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.043601 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043611 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.043621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043631 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043641 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.043652 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043662 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043672 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043682 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.043693 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043703 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043712 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043726 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.043737 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043746 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043756 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.043766 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043776 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.043786 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043796 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.043806 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043816 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_cell_ho.error:0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043830 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.043840 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.043850 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043860 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.043870 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043880 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043890 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.043901 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043911 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043922 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043932 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.043942 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.043952 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.043962 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.043976 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.043986 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.043996 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044006 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.044016 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044025 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.044035 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044045 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.044055 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044065 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.attempted:0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044075 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.044085 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.044095 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044109 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.044119 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044129 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044139 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.044149 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044159 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044170 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044180 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.044190 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044200 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044209 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044219 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.044229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044239 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044253 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.044263 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044273 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.044283 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.044303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044313 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.completed:0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044322 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.044332 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.044342 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044352 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.044362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044372 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044388 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.044399 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044408 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044419 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044429 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.044439 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044449 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044459 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044469 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.044479 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044489 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044499 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.044508 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044518 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.044528 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044542 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.044552 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044562 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.stopped:0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044572 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.044582 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.044592 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044602 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.044612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044622 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044632 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.044642 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044652 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044663 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044673 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.044683 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044703 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044717 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.044727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044737 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.044757 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044767 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.044777 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044787 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.044797 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044807 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044817 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.044827 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.044836 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044850 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.044860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044871 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044881 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.044891 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044901 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044912 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044922 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.044932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.044942 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.044952 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044962 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.044971 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.044981 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.044991 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.045001 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045011 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.045021 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045034 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.045045 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045055 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.timeout:0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045065 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.045074 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.045084 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045094 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.045104 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045114 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045124 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.045134 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045144 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045155 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045165 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.045175 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045185 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045195 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045209 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.045219 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045229 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045239 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.045249 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045259 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.045268 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045278 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.045288 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045298 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.failed:0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045308 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.045318 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.045328 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045338 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.045348 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045358 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045372 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.045382 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045392 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045403 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045413 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.045423 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045433 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045443 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045453 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.045463 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045472 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045482 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.045492 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045502 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.045512 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045522 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.045532 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045542 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.intra_bsc_ho.error:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045556 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.045566 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.045576 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045586 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.045596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045606 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045616 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.045627 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045637 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045647 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045657 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.045668 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045677 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045687 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045697 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.045719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045729 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045739 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.045749 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045763 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.045773 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045782 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.045792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045802 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.attempted:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045812 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.045822 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.045832 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045841 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.045851 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045862 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045871 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.045882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045891 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045902 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045911 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.045922 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.045931 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.045941 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045951 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.045961 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.045970 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.045984 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.045994 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046004 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.046014 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046023 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.046033 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046043 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.completed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046053 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.046063 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.046072 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046082 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.046092 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046102 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046111 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.046122 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046131 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046142 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046152 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.046162 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046172 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046181 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046195 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.046205 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046215 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046224 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.046234 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046244 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.046254 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046263 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.046274 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046284 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.stopped:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046293 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.046303 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.046313 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046323 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.046333 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046343 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046353 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.046363 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046373 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046383 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046393 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.046403 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046413 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046423 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046436 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.046447 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046456 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046466 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.046476 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046486 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.046496 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046506 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.046516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046526 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046535 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.046545 27 StatsD_CodecPort.ttcn:36 match_first result: 48 05:48:59.046555 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046565 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.046574 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046585 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046594 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.046605 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046614 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046625 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046634 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.046645 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046664 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046674 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.046684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046694 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046708 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.046718 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046728 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.046738 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046747 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.046757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046767 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.timeout:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046777 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.046787 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.046797 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046806 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.046816 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046827 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046836 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.046847 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046856 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046867 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046877 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.046887 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.046897 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.046907 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046916 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.046926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.046936 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046946 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.046956 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046966 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.046976 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.046985 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.046995 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047005 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.failed:0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047019 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.047029 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.047039 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047049 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.047058 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047069 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047078 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.047089 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047099 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047109 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047119 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.047129 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047139 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047149 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047158 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.047168 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047178 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047188 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.047198 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047208 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.047217 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047227 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.047237 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047247 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.incoming_intra_bsc_ho.error:0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047257 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.047267 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.047276 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047286 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.047296 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047306 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047316 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.047326 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047336 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047347 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047356 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.047367 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047376 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047386 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047400 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.047410 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047420 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047429 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.047439 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047449 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.047459 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047469 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.047479 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047488 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.attempted:0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047498 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.047508 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.047518 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047527 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.047537 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047547 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047557 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.047567 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047577 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047587 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047597 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.047607 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047617 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047627 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047637 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.047646 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047656 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047666 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.047675 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047685 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.047695 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047704 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.047714 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047724 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.completed:0|c 05:48:59.047734 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.047744 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.047753 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.047763 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.047773 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047783 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.047792 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.047802 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047812 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.047823 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.047832 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.047842 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.047852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.047862 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.047871 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.047881 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.047891 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.047900 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.047916 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.047926 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.047936 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.047945 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.047955 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.047965 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.047974 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.047984 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.047994 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.048004 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.048014 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.048023 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit } } 05:48:59.048108 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit } } } id 39 05:48:59.048131 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.048171 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.incoming_intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit } } } id 39 05:48:59.048188 27 StatsD_Checker.ttcn:199 Message with id 39 was extracted from the queue of STATS. 05:48:59.048303 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E74696D656F75743A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E617474656D707465643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E6661696C65643A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6274732E36353533362E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6274732E36353533362E73727663632E617474656D707465643A307C630A5454434E332E6274732E36353533362E73727663632E636F6D706C657465643A307C630A5454434E332E6274732E36353533362E73727663632E73746F707065643A307C630A5454434E332E6274732E36353533362E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6274732E36353533362E73727663632E74696D656F75743A307C630A5454434E332E6274732E36353533362E73727663632E6661696C65643A307C630A5454434E332E6274732E36353533362E73727663632E6572726F723A307C630A5454434E332E6274732E36353533362E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6274732E36353533362E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6274732E36353533362E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6274732E36353533362E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A3A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F686C723A307C63'O ("TTCN3.bts.65536.interbsc_ho_out.stopped:0|c\nTTCN3.bts.65536.interbsc_ho_out.timeout:0|c\nTTCN3.bts.65536.interbsc_ho_out.failed:0|c\nTTCN3.bts.65536.interbsc_ho_out.error:0|c\nTTCN3.bts.65536.interbsc_ho_in.attempted:0|c\nTTCN3.bts.65536.interbsc_ho_in.completed:0|c\nTTCN3.bts.65536.interbsc_ho_in.stopped:0|c\nTTCN3.bts.65536.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.65536.interbsc_ho_in.failed:0|c\nTTCN3.bts.65536.interbsc_ho_in.timeout:0|c\nTTCN3.bts.65536.interbsc_ho_in.error:0|c\nTTCN3.bts.65536.srvcc.attempted:0|c\nTTCN3.bts.65536.srvcc.completed:0|c\nTTCN3.bts.65536.srvcc.stopped:0|c\nTTCN3.bts.65536.srvcc.no_channel:0|c\nTTCN3.bts.65536.srvcc.timeout:0|c\nTTCN3.bts.65536.srvcc.failed:0|c\nTTCN3.bts.65536.srvcc.error:0|c\nTTCN3.bts.65536.all_allocated.sdcch:0|c\nTTCN3.bts.65536.all_allocated.static_sdcch:0|c\nTTCN3.bts.65536.all_allocated.tch:0|c\nTTCN3.bts.65536.all_allocated.static_tch:0|c\nTTCN3.bts.65536.cm_serv_rej:0|c\nTTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c") } id 40 05:48:59.048327 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.interbsc_ho_out.stopped:0|c\nTTCN3.bts.65536.interbsc_ho_out.timeout:0|c\nTTCN3.bts.65536.interbsc_ho_out.failed:0|c\nTTCN3.bts.65536.interbsc_ho_out.error:0|c\nTTCN3.bts.65536.interbsc_ho_in.attempted:0|c\nTTCN3.bts.65536.interbsc_ho_in.completed:0|c\nTTCN3.bts.65536.interbsc_ho_in.stopped:0|c\nTTCN3.bts.65536.interbsc_ho_in.no_channel:0|c\nTTCN3.bts.65536.interbsc_ho_in.failed:0|c\nTTCN3.bts.65536.interbsc_ho_in.timeout:0|c\nTTCN3.bts.65536.interbsc_ho_in.error:0|c\nTTCN3.bts.65536.srvcc.attempted:0|c\nTTCN3.bts.65536.srvcc.completed:0|c\nTTCN3.bts.65536.srvcc.stopped:0|c\nTTCN3.bts.65536.srvcc.no_channel:0|c\nTTCN3.bts.65536.srvcc.timeout:0|c\nTTCN3.bts.65536.srvcc.failed:0|c\nTTCN3.bts.65536.srvcc.error:0|c\nTTCN3.bts.65536.all_allocated.sdcch:0|c\nTTCN3.bts.65536.all_allocated.static_sdcch:0|c\nTTCN3.bts.65536.all_allocated.tch:0|c\nTTCN3.bts.65536.all_allocated.static_tch:0|c\nTTCN3.bts.65536.cm_serv_rej:0|c\nTTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c" 05:48:59.048361 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.stopped:0|c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048375 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.048386 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.048396 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048405 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.048415 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048426 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048436 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.048447 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048456 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.048467 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048481 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.048492 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048502 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048511 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048521 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.048531 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.048541 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048551 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.048561 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048571 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.048580 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048594 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.048605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048614 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.timeout:0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048624 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.048634 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.048644 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.048663 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048674 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048683 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.048694 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048703 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.048714 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.048739 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048748 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048758 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048768 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.048778 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.048787 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048797 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.048807 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048817 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.048830 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048840 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.048850 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048860 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.failed:0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048872 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.048883 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.048894 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048904 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.048914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.048924 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048938 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.048948 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048958 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.048969 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.048978 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.048989 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.048998 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049008 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049018 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.049028 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049037 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049047 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.049057 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049071 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.049081 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049090 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.049100 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049110 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_out.error:0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049120 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.049130 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.049139 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049149 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.049159 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049169 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049183 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.049193 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049214 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049223 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.049234 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049243 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049253 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049263 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.049273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049283 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049292 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.049302 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049316 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.049326 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049336 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.049346 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049356 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.attempted:0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049365 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.049375 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.049385 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049395 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.049404 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049415 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049424 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.049435 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049444 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049455 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049468 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.049479 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049488 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049498 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049508 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.049518 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049528 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049537 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.049547 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049557 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.049567 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049582 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.049592 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049602 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.completed:0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049612 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.049622 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.049631 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049641 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.049651 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049661 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049671 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.049681 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049691 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049701 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049719 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.049730 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049740 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049750 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049759 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.049769 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049779 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049789 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.049798 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049808 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.049818 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049828 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.049838 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049848 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.stopped:0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049862 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.049872 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.049883 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049894 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.049906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049917 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049926 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.049937 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049947 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.049957 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.049967 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.049978 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.049987 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.049997 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050007 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.050017 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050030 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050040 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.050050 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050060 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.050070 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050080 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.050090 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050100 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.no_channel:0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050110 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.050120 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.050129 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050139 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.050149 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050163 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050173 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.050184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050193 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050204 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050214 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.050224 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050234 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050244 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050254 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.050264 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050273 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050283 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.050293 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050303 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.050317 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050327 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.050337 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050347 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.failed:0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050356 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.050366 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.050376 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050386 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.050396 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050406 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050416 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.050426 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050436 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050447 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050457 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.050467 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050477 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050491 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050501 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.050511 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050520 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050530 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.050540 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050550 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.050560 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050570 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.050580 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050590 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.timeout:0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050600 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.050610 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.050620 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.050643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050654 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050664 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.050674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050695 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050705 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.050715 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050725 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050735 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050745 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.050755 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050764 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050774 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.050784 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050794 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.050804 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050818 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.050828 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050838 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.interbsc_ho_in.error:0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050848 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.050858 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.050868 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050878 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.050888 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050898 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050908 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.050919 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050928 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.050939 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050949 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.050960 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.050969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.050979 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.050993 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.051004 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051013 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051023 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.051033 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051043 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.051053 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051063 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.051073 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051083 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.attempted:0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051093 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.051103 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.051113 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051123 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.051133 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051143 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051153 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.051164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051178 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051189 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051199 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.051209 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051219 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051229 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051239 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.051249 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051259 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051269 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.051279 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.051299 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051309 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.051319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051329 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.completed:0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051339 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.051349 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.051359 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051378 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.051388 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051399 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051409 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.051419 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051429 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051440 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051449 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.051460 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051470 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051479 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051489 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.051499 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051509 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051518 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.051528 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051538 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.051547 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051557 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.051571 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051581 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.stopped:0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051590 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.051600 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.051610 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051619 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.051629 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051639 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051649 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.051659 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051669 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051680 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051689 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.051700 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051709 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051719 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051728 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.051738 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051748 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051758 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.051767 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051781 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.051791 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051801 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.051811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051821 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.no_channel:0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051830 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.051840 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.051850 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051859 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.051869 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051880 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051889 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.051900 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051909 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051920 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051929 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.051940 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.051949 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.051959 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051968 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.051978 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.051988 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.051998 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.052007 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052022 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.052031 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052041 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.052051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052061 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.timeout:0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052071 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.052080 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.052090 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052100 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.052110 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052120 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052129 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.052140 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052149 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052160 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052169 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.052180 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052199 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052209 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.052218 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052228 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052244 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.052254 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052263 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.052273 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052283 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.052293 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052302 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.failed:0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052312 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.052322 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.052331 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052341 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.052351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052361 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052371 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.052381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052391 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052401 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052411 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.052421 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052440 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052450 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.052460 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052469 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052479 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.052489 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052504 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.052514 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052523 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.052533 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052543 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.srvcc.error:0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052553 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.052563 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.052573 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052582 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.052592 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052602 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052612 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.052622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052643 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052653 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.052663 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052673 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052682 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052692 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.052702 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052711 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052721 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.052731 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052740 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.052750 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052764 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.052774 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052784 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.all_allocated.sdcch:0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052794 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.052803 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.052813 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052823 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.052832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052843 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052852 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.052863 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052883 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052892 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.052902 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.052912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.052922 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052931 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.052941 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.052951 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052960 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.052970 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052980 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.052990 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.052999 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.053009 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053019 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.all_allocated.static_sdcch:0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053029 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.053039 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.053049 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053062 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.053072 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053083 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053092 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.053103 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053112 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053123 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053133 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.053143 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053153 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053163 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053172 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.053182 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053192 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053202 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.053212 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053221 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.053231 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053241 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.053251 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053261 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.all_allocated.tch:0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053271 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.053280 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.053290 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053300 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.053310 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053320 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053330 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.053340 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053350 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053360 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053370 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.053380 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053390 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053400 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053413 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.053423 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053433 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053443 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.053453 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053462 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.053472 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053482 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.053492 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053502 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.all_allocated.static_tch:0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053511 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.053521 27 StatsD_CodecPort.ttcn:36 match_first result: 40 05:48:59.053531 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053541 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.053551 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053561 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053571 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.053581 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053591 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053601 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053611 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.053621 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053631 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053641 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053650 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.053660 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053670 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053680 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.053689 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053699 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.053713 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053723 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.053733 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053743 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053752 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.053762 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.053772 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053782 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.053792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053802 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053812 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.053822 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053832 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053842 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053856 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.053867 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.053876 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053886 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053896 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.053906 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.053915 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053925 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.053935 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053945 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.053954 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053964 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.053974 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.053984 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr:0|c 05:48:59.053994 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.054003 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.054013 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.054023 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.054033 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054043 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.054052 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.054063 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.054073 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.054083 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.054093 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.054103 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.054113 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054123 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.054132 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.054142 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.054152 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.054162 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.054172 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.054181 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.054191 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.054201 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.054211 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.054221 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.054230 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.054240 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.054250 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.054260 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.054270 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.054279 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit } } 05:48:59.054367 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit } } } id 40 05:48:59.054386 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.054427 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_hlr", val := 0, mtype := "c", srate := omit } } } id 40 05:48:59.054447 27 StatsD_Checker.ttcn:199 Message with id 40 was extracted from the queue of STATS. 05:48:59.054566 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E636D5F736572765F72656A2E696C6C6567616C5F6D733A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696D73695F756E6B6E6F776E5F696E5F766C723A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696D65695F6E6F745F61636365707465643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696C6C6567616C5F6D653A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E706C6D6E5F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E6C6F635F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E726F616D696E675F6E6F745F616C6C6F7765643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E6E6574776F726B5F6661696C7572653A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E73796E63685F6661696C7572653A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E636F6E67657374696F6E3A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E7271645F7372765F6F70745F6E6F745F737570706F727465643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E7372765F6F70745F746D705F6F75745F6F665F6F726465723A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E63616C6C5F63616E5F6E6F745F62655F6964656E7469666965643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696E636F72726563745F6D6573736167653A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696E76616C69645F6D616E64616E746F72795F696E663A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E6D73675F747970655F6E6F745F696D706C656D656E7465643A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E6D73675F747970655F6E6F745F636F6D70617469626C653A307C630A5454434E332E6274732E36353533362E636D5F736572765F72656A2E696E665F656C656D655F6E6F745F696D706C656D656E7465643A307C63'O ("TTCN3.bts.65536.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.65536.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.network_failure:0|c\nTTCN3.bts.65536.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.65536.cm_serv_rej.congestion:0|c\nTTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c") } id 41 05:48:59.054589 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.cm_serv_rej.illegal_ms:0|c\nTTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c\nTTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c\nTTCN3.bts.65536.cm_serv_rej.illegal_me:0|c\nTTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c\nTTCN3.bts.65536.cm_serv_rej.network_failure:0|c\nTTCN3.bts.65536.cm_serv_rej.synch_failure:0|c\nTTCN3.bts.65536.cm_serv_rej.congestion:0|c\nTTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c\nTTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c\nTTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c\nTTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c\nTTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c\nTTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c\nTTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c" 05:48:59.054624 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.illegal_ms:0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054634 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.054644 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.054654 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054668 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.054678 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054688 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054698 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.054709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.054718 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.054729 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054739 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.054750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.054759 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054769 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054779 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.054793 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.054803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.054823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.054843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054853 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.054863 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054873 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr:0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054889 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.054901 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.054912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054921 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.054931 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.054942 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054951 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.054962 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.054972 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.054983 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.054993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.055003 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055023 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055037 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.055047 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055057 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055066 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.055076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.055096 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055106 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.055116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055126 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.imei_not_accepted:0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055140 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.055150 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.055160 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055170 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.055180 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055190 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055200 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.055210 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055220 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055231 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055241 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.055251 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055261 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055271 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055285 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.055295 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055305 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055315 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.055325 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055335 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.055345 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055355 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.055365 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055375 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.illegal_me:0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055390 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.055402 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.055412 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055421 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.055431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055442 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055451 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.055462 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055472 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055482 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055493 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.055503 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055513 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055523 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055537 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.055547 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055557 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055567 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.055576 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055586 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.055596 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.055616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055626 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055640 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.055650 27 StatsD_CodecPort.ttcn:36 match_first result: 44 05:48:59.055660 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055669 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.055679 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055689 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055699 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.055710 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055719 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.055750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055770 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055784 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.055794 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055803 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.055823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.055843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055853 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.055863 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055873 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.loc_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055883 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.055893 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.055903 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055916 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.055927 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.055937 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055947 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.055957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.055967 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.055978 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.055988 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.055998 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056008 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056018 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056028 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.056038 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056047 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056061 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.056071 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056081 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.056091 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056101 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.056111 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056121 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed:0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056131 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.056141 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.056151 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056161 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.056171 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056181 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056195 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.056205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056226 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056236 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.056247 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056256 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056266 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.056286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056296 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056306 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.056316 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056326 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.056336 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056349 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.056359 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056369 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.network_failure:0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056379 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.056389 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.056399 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056409 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.056419 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056429 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056439 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.056449 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056459 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056470 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056480 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.056490 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056500 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056510 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056524 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.056534 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056544 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056554 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.056564 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056574 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.056583 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056593 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.056603 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056613 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.synch_failure:0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056623 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.056633 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.056643 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056653 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.056663 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056677 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056687 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.056698 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056708 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056718 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.056739 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056749 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056758 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056768 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.056778 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056788 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056798 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.056808 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056818 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.056828 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056842 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.056852 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056862 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.congestion:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056872 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.056882 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.056892 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056902 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.056912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.056922 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056932 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.056942 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056952 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.056963 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.056973 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.056983 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.056993 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057003 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057013 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.057023 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057033 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057047 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.057057 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057067 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.057076 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057086 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.057096 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057106 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057116 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.057126 27 StatsD_CodecPort.ttcn:36 match_first result: 49 05:48:59.057136 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057146 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.057156 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057166 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057176 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.057187 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057196 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057207 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057222 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.057232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057242 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057252 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057262 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.057272 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057282 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057291 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.057301 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057311 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.057321 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057330 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.057340 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057350 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported:0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057360 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.057370 27 StatsD_CodecPort.ttcn:36 match_first result: 53 05:48:59.057380 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057393 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.057403 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057413 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057423 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.057433 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057443 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057454 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057463 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.057473 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057483 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057493 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057502 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.057512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057522 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057532 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.057541 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057551 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.057561 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057571 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.057580 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057590 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order:0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057604 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.057614 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:59.057624 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.057643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057653 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057663 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.057673 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057683 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057693 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057703 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.057725 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057734 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057744 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057754 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.057764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057773 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057783 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.057793 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057803 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.057812 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057822 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.057832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057842 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified:0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057857 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.057867 27 StatsD_CodecPort.ttcn:36 match_first result: 54 05:48:59.057877 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057889 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.057899 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057912 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057921 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.057932 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057942 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.057952 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.057962 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.057972 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.057982 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.057992 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058001 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.058011 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058021 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058030 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.058040 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058050 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.058060 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058069 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.058079 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058089 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.incorrect_message:0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058098 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.058108 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.058118 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058132 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.058142 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058152 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058162 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.058172 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058181 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058192 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058202 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.058212 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058222 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058231 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058241 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.058251 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058260 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058270 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.058280 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058289 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.058299 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058309 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.058319 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058329 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058338 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.058348 27 StatsD_CodecPort.ttcn:36 match_first result: 50 05:48:59.058358 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058367 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.058377 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058387 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058397 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.058407 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058417 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058431 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058441 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.058451 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058461 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058470 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058480 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.058490 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058499 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058509 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.058519 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058528 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.058538 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058548 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.058558 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058568 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented:0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058577 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.058587 27 StatsD_CodecPort.ttcn:36 match_first result: 52 05:48:59.058597 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058606 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.058616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058626 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058636 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.058646 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058656 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058666 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058676 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.058686 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058696 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058706 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058715 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.058725 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058735 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058744 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.058754 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058764 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.058773 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058787 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.058797 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058807 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058816 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.058826 27 StatsD_CodecPort.ttcn:36 match_first result: 51 05:48:59.058836 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058845 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.058855 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058865 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058875 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.058885 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058895 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058905 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058915 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.058925 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.058935 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.058944 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058954 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.058964 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.058973 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.058983 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.058993 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.059003 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.059012 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.059022 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.059032 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059042 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented:0|c 05:48:59.059051 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.059061 27 StatsD_CodecPort.ttcn:36 match_first result: 53 05:48:59.059070 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.059080 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.059090 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059100 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.059109 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.059119 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.059129 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.059140 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.059149 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.059159 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.059169 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059179 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.059188 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.059198 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.059207 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.059217 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.059227 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.059236 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.059246 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.059256 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.059265 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.059275 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.059284 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.059294 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.059304 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.059318 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.059328 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.059338 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit } } 05:48:59.059410 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit } } } id 41 05:48:59.059432 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.059471 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.cm_serv_rej.illegal_ms", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imsi_unknown_in_vlr", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.imei_not_accepted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.illegal_me", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.plmn_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.loc_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.roaming_not_allowed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.network_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.synch_failure", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.congestion", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.rqd_srv_opt_not_supported", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.srv_opt_tmp_out_of_order", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.call_can_not_be_identified", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.incorrect_message", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.invalid_mandantory_inf", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_implemented", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_type_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.inf_eleme_not_implemented", val := 0, mtype := "c", srate := omit } } } id 41 05:48:59.059487 27 StatsD_Checker.ttcn:199 Message with id 41 was extracted from the queue of STATS. 05:48:59.059603 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg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bts.65536.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.65536.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bsc.0.assignment.attempted:0|c\nTTCN3.bsc.0.assignment.completed:0|c\nTTCN3.bsc.0.assignment.stopped:0|c\nTTCN3.bsc.0.assignment.no_channel:0|c\nTTCN3.bsc.0.assignment.timeout:0|c\nTTCN3.bsc.0.assignment.failed:0|c\nTTCN3.bsc.0.assignment.error:0|c\nTTCN3.bsc.0.handover.attempted:0|c\nTTCN3.bsc.0.handover.completed:0|c\nTTCN3.bsc.0.handover.stopped:0|c\nTTCN3.bsc.0.handover.no_channel:0|c\nTTCN3.bsc.0.handover.timeout:0|c\nTTCN3.bsc.0.handover.failed:0|c\nTTCN3.bsc.0.handover.error:0|c\nTTCN3.bsc.0.intra_cell_ho.attempted:0|c\nTTCN3.bsc.0.intra_cell_ho.completed:0|c\nTTCN3.bsc.0.intra_cell_ho.stopped:0|c\nTTCN3.bsc.0.intra_cell_ho.no_channel:0|c\nTTCN3.bsc.0.intra_cell_ho.timeout:0|c\nTTCN3.bsc.0.intra_cell_ho.failed:0|c\nTTCN3.bsc.0.intra_cell_ho.error:0|c\nTTCN3.bsc.0.intra_bsc_ho.attempted:0|c") } id 42 05:48:59.059627 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.cm_serv_rej.condtional_ie_error:0|c\nTTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c\nTTCN3.bts.65536.cm_serv_rej.protocol_error:0|c\nTTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c\nTTCN3.bsc.0.assignment.attempted:0|c\nTTCN3.bsc.0.assignment.completed:0|c\nTTCN3.bsc.0.assignment.stopped:0|c\nTTCN3.bsc.0.assignment.no_channel:0|c\nTTCN3.bsc.0.assignment.timeout:0|c\nTTCN3.bsc.0.assignment.failed:0|c\nTTCN3.bsc.0.assignment.error:0|c\nTTCN3.bsc.0.handover.attempted:0|c\nTTCN3.bsc.0.handover.completed:0|c\nTTCN3.bsc.0.handover.stopped:0|c\nTTCN3.bsc.0.handover.no_channel:0|c\nTTCN3.bsc.0.handover.timeout:0|c\nTTCN3.bsc.0.handover.failed:0|c\nTTCN3.bsc.0.handover.error:0|c\nTTCN3.bsc.0.intra_cell_ho.attempted:0|c\nTTCN3.bsc.0.intra_cell_ho.completed:0|c\nTTCN3.bsc.0.intra_cell_ho.stopped:0|c\nTTCN3.bsc.0.intra_cell_ho.no_channel:0|c\nTTCN3.bsc.0.intra_cell_ho.timeout:0|c\nTTCN3.bsc.0.intra_cell_ho.failed:0|c\nTTCN3.bsc.0.intra_cell_ho.error:0|c\nTTCN3.bsc.0.intra_bsc_ho.attempted:0|c" 05:48:59.059661 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.condtional_ie_error:0|c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059671 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.059681 27 StatsD_CodecPort.ttcn:36 match_first result: 47 05:48:59.059691 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059705 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.059715 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059725 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059735 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.059746 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.059755 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.059766 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059776 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.059787 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.059796 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059806 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059820 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.059830 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.059840 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059850 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.059860 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059869 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.059879 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059889 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.059899 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059909 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.msg_not_compatible:0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059923 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.059933 27 StatsD_CodecPort.ttcn:36 match_first result: 46 05:48:59.059942 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059952 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.059962 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.059972 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.059982 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.059992 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060002 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060012 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060022 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.060036 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060046 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060056 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060066 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.060076 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060085 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060095 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.060105 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060115 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.060125 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060138 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.060148 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060158 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.protocol_error:0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060168 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.060177 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.060187 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060197 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.060207 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060217 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060226 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.060237 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060246 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060257 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060271 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.060281 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060291 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060301 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060310 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.060320 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060330 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060340 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.060349 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060359 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.060369 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060383 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.060393 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060403 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell:0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060413 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.060422 27 StatsD_CodecPort.ttcn:36 match_first result: 45 05:48:59.060432 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060442 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.060452 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060462 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060471 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.060482 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060491 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060502 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060516 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.060526 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060536 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060546 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060555 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.060565 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060575 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060585 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.060594 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060604 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.060614 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060630 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.060641 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060650 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.attempted:0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060660 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.060670 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.060680 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060689 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.060699 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060709 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060719 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.060729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060739 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060750 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060759 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.060770 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060779 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060794 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060803 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.060813 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060823 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060833 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.060843 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060852 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.060862 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060872 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.060882 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060892 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.completed:0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060906 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.060916 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.060926 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060935 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.060945 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.060955 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.060965 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.060975 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.060985 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.060996 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061005 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.061016 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061025 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061035 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061049 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.061059 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061068 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061078 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.061088 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061098 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.061107 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061117 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.061127 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061137 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.stopped:0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061147 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.061156 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.061166 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061180 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.061190 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061200 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.061220 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061240 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061250 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.061260 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061279 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061289 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.061299 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061309 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061318 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.061332 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.061352 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061362 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.061372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061382 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.no_channel:0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061391 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.061401 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.061411 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061420 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.061430 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061440 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061454 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.061464 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061485 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061494 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.061505 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061514 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061524 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061534 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.061544 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061553 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061563 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.061573 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061583 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.061593 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061606 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.061616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061626 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.timeout:0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061636 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.061646 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.061656 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061665 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.061675 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061685 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061695 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.061709 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061720 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061730 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061740 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.061750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061770 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061784 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.061794 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061804 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061813 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.061823 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061833 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.061843 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061853 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.061862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.failed:0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061882 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.061892 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.061902 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061911 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.061925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.061936 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.061956 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.061966 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.061976 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.061986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.061996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062016 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062025 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.062035 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062045 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062055 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.062065 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062075 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.062085 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062098 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.062109 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062119 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.assignment.error:0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062128 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.062138 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.062148 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062158 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.062168 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062178 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062188 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.062198 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062208 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062219 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062229 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.062239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062249 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062258 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062272 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.062282 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062292 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062302 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.062312 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062322 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.062331 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062341 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.062351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062361 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.attempted:0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062371 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.062381 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.062391 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062400 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.062410 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062421 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062434 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.062445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062455 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062465 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062475 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.062486 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062495 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062505 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062515 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.062525 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062535 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062545 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.062554 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062564 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.062574 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062584 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.062594 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062604 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.completed:0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062618 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.062628 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.062638 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062648 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.062658 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062668 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062678 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.062689 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062698 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062709 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062719 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.062729 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062739 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062749 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062759 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.062769 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062779 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062789 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.062799 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062818 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.062829 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062838 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.062849 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062859 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.stopped:0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062869 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.062879 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.062888 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062898 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.062908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062919 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062929 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.062939 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062949 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.062960 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.062969 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.062980 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.062989 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.062999 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063015 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.063025 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063034 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063044 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.063054 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063064 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.063074 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063083 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.063093 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063103 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.no_channel:0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063112 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.063122 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.063132 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063142 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.063151 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063161 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063171 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.063181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063191 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063206 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063215 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.063226 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063235 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063245 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063255 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.063265 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063274 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063284 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.063294 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063303 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.063313 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063323 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.063332 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063342 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.timeout:0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063352 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.063362 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.063371 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063381 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.063391 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063405 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063415 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.063425 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063435 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063445 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063455 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.063465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063475 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063485 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063494 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.063504 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063514 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063523 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.063533 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063543 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.063553 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063562 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.063572 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063582 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.failed:0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063591 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.063601 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.063611 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063625 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.063635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063645 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063655 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.063665 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063675 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063685 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063695 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.063705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063715 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063725 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063734 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.063744 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063754 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063763 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.063773 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063783 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.063793 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063802 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.063812 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063822 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.handover.error:0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063831 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.063841 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.063855 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063864 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.063874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063885 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063895 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.063907 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063918 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063930 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063939 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.063950 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.063959 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.063969 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.063978 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.063988 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.063998 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064008 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.064017 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064027 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.064037 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064047 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.064056 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064066 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.attempted:0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064076 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.064086 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.064095 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064109 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.064119 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064129 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064138 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.064149 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064158 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064169 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064178 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.064189 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064198 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064208 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064218 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.064227 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064237 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064247 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.064256 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064266 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.064276 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064285 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.064295 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064305 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.completed:0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064315 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.064324 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.064334 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064344 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.064353 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064367 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064377 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.064388 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064397 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064408 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064417 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.064428 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064437 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064447 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064456 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.064466 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064476 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064486 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.064495 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064505 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.064515 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064524 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.064534 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064544 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.stopped:0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064554 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.064564 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.064573 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064583 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.064593 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064603 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064612 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.064623 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064632 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064643 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064652 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.064667 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064677 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064687 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064696 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.064706 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064716 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064725 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.064735 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064745 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.064755 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064764 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.064774 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064784 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.no_channel:0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064794 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.064804 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.064814 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064823 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.064833 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064844 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064853 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.064864 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064873 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064884 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064894 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.064904 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.064914 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.064924 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064933 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.064943 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.064953 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064963 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.064973 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.064982 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.064992 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065006 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.065016 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065026 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.timeout:0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065036 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.065045 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.065055 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065065 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.065074 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065085 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065094 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.065105 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065114 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065125 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065134 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.065145 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065164 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065174 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.065184 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065193 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065203 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.065213 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065222 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.065232 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065242 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.065252 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065262 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.failed:0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065272 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.065282 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.065291 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065301 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.065311 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065321 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065331 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.065341 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065351 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065362 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065371 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.065381 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065391 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065401 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065415 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.065425 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065435 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065444 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.065454 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065464 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.065474 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065483 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.065493 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065503 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_cell_ho.error:0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065513 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.065523 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.065532 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065542 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.065552 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065562 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065571 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.065582 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065592 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065602 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065612 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.065622 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065632 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065641 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065651 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.065661 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065670 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065680 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.065690 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065700 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.065714 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065724 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.065734 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065744 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.attempted:0|c 05:48:59.065753 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.065763 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.065773 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.065783 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.065793 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065803 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.065813 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.065823 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065833 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.065844 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.065853 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.065864 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.065873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.065883 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.065893 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.065903 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.065913 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.065922 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.065932 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.065942 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.065957 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.065966 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.065976 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.065986 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.065996 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.066006 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.066016 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.066025 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.066035 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.066045 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } 05:48:59.066127 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 42 05:48:59.066151 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.066193 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.cm_serv_rej.condtional_ie_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.msg_not_compatible", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.protocol_error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.65536.cm_serv_rej.retry_in_new_cell", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.assignment.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.handover.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_cell_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.attempted", val := 0, mtype := "c", srate := omit } } } id 42 05:48:59.066210 27 StatsD_Checker.ttcn:199 Message with id 42 was extracted from the queue of STATS. 05:48:59.066336 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6273632E302E696E7472615F6273635F686F2E636F6D706C657465643A307C630A5454434E332E6273632E302E696E7472615F6273635F686F2E73746F707065643A307C630A5454434E332E6273632E302E696E7472615F6273635F686F2E6E6F5F6368616E6E656C3A307C630A5454434E332E6273632E302E696E7472615F6273635F686F2E74696D656F75743A307C630A5454434E332E6273632E302E696E7472615F6273635F686F2E6661696C65643A307C630A5454434E332E6273632E302E696E7472615F6273635F686F2E6572726F723A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E617474656D707465643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E636F6D706C657465643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E73746F707065643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E74696D656F75743A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E6661696C65643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F6F75742E6572726F723A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E617474656D707465643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E636F6D706C657465643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E73746F707065643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E6E6F5F6368616E6E656C3A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E6661696C65643A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E74696D656F75743A307C630A5454434E332E6273632E302E696E7465726273635F686F5F696E2E6572726F723A307C630A5454434E332E6273632E302E73727663632E617474656D707465643A307C630A5454434E332E6273632E302E73727663632E636F6D706C657465643A307C630A5454434E332E6273632E302E73727663632E73746F707065643A307C630A5454434E332E6273632E302E73727663632E6E6F5F6368616E6E656C3A307C630A5454434E332E6273632E302E73727663632E74696D656F75743A307C630A5454434E332E6273632E302E73727663632E6661696C65643A307C630A5454434E332E6273632E302E73727663632E6572726F723A307C630A5454434E332E6273632E302E706167696E672E617474656D707465643A307C63'O ("TTCN3.bsc.0.intra_bsc_ho.completed:0|c\nTTCN3.bsc.0.intra_bsc_ho.stopped:0|c\nTTCN3.bsc.0.intra_bsc_ho.no_channel:0|c\nTTCN3.bsc.0.intra_bsc_ho.timeout:0|c\nTTCN3.bsc.0.intra_bsc_ho.failed:0|c\nTTCN3.bsc.0.intra_bsc_ho.error:0|c\nTTCN3.bsc.0.interbsc_ho_out.attempted:0|c\nTTCN3.bsc.0.interbsc_ho_out.completed:0|c\nTTCN3.bsc.0.interbsc_ho_out.stopped:0|c\nTTCN3.bsc.0.interbsc_ho_out.timeout:0|c\nTTCN3.bsc.0.interbsc_ho_out.failed:0|c\nTTCN3.bsc.0.interbsc_ho_out.error:0|c\nTTCN3.bsc.0.interbsc_ho_in.attempted:0|c\nTTCN3.bsc.0.interbsc_ho_in.completed:0|c\nTTCN3.bsc.0.interbsc_ho_in.stopped:0|c\nTTCN3.bsc.0.interbsc_ho_in.no_channel:0|c\nTTCN3.bsc.0.interbsc_ho_in.failed:0|c\nTTCN3.bsc.0.interbsc_ho_in.timeout:0|c\nTTCN3.bsc.0.interbsc_ho_in.error:0|c\nTTCN3.bsc.0.srvcc.attempted:0|c\nTTCN3.bsc.0.srvcc.completed:0|c\nTTCN3.bsc.0.srvcc.stopped:0|c\nTTCN3.bsc.0.srvcc.no_channel:0|c\nTTCN3.bsc.0.srvcc.timeout:0|c\nTTCN3.bsc.0.srvcc.failed:0|c\nTTCN3.bsc.0.srvcc.error:0|c\nTTCN3.bsc.0.paging.attempted:0|c") } id 43 05:48:59.066356 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bsc.0.intra_bsc_ho.completed:0|c\nTTCN3.bsc.0.intra_bsc_ho.stopped:0|c\nTTCN3.bsc.0.intra_bsc_ho.no_channel:0|c\nTTCN3.bsc.0.intra_bsc_ho.timeout:0|c\nTTCN3.bsc.0.intra_bsc_ho.failed:0|c\nTTCN3.bsc.0.intra_bsc_ho.error:0|c\nTTCN3.bsc.0.interbsc_ho_out.attempted:0|c\nTTCN3.bsc.0.interbsc_ho_out.completed:0|c\nTTCN3.bsc.0.interbsc_ho_out.stopped:0|c\nTTCN3.bsc.0.interbsc_ho_out.timeout:0|c\nTTCN3.bsc.0.interbsc_ho_out.failed:0|c\nTTCN3.bsc.0.interbsc_ho_out.error:0|c\nTTCN3.bsc.0.interbsc_ho_in.attempted:0|c\nTTCN3.bsc.0.interbsc_ho_in.completed:0|c\nTTCN3.bsc.0.interbsc_ho_in.stopped:0|c\nTTCN3.bsc.0.interbsc_ho_in.no_channel:0|c\nTTCN3.bsc.0.interbsc_ho_in.failed:0|c\nTTCN3.bsc.0.interbsc_ho_in.timeout:0|c\nTTCN3.bsc.0.interbsc_ho_in.error:0|c\nTTCN3.bsc.0.srvcc.attempted:0|c\nTTCN3.bsc.0.srvcc.completed:0|c\nTTCN3.bsc.0.srvcc.stopped:0|c\nTTCN3.bsc.0.srvcc.no_channel:0|c\nTTCN3.bsc.0.srvcc.timeout:0|c\nTTCN3.bsc.0.srvcc.failed:0|c\nTTCN3.bsc.0.srvcc.error:0|c\nTTCN3.bsc.0.paging.attempted:0|c" 05:48:59.066395 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.completed:0|c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066405 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.066415 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.066425 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066435 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.066445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066455 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066465 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.066476 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.066486 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.066497 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066511 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.066521 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.066531 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066541 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066551 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.066561 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.066570 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066580 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.066590 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066606 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.066616 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066626 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.066636 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066646 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.stopped:0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066656 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.066666 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.066675 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066685 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.066695 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066705 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066719 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.066730 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.066739 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.066750 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066760 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.066770 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.066780 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066790 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066799 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.066809 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.066819 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066829 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.066839 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066853 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.066863 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066872 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.066883 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066892 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.no_channel:0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066902 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.066912 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.066922 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066932 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.066945 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.066956 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.066965 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.066976 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.066986 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.066997 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067006 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.067017 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067026 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067036 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067046 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.067056 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067066 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067080 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.067090 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067099 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.067109 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067119 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.067129 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067139 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.timeout:0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067149 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.067159 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.067169 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067183 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.067193 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067203 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067213 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.067223 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067233 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067244 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067254 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.067264 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067274 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067284 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067293 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.067303 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067313 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067327 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.067337 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067347 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.067357 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067367 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.067377 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067386 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.failed:0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067396 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.067406 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.067416 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067430 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.067440 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067450 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067460 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.067470 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067480 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067491 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067501 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.067511 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067521 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067530 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067540 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.067550 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067560 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067574 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.067584 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067594 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.067604 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067613 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.067624 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067634 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.intra_bsc_ho.error:0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067643 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.067653 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.067663 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067677 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.067687 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067697 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067707 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.067718 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067738 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.067758 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067778 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067788 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.067798 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067808 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067818 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.067828 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067841 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.067852 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067862 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.067872 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067882 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.attempted:0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067892 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.067902 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.067912 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067922 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.067932 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.067942 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067956 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.067966 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.067976 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.067987 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.067997 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.068007 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068017 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068027 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068037 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.068047 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068056 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068066 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.068076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.068100 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068110 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.068120 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068130 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.completed:0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068140 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.068149 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.068159 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068169 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.068179 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068189 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068199 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.068209 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068219 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068230 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068243 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.068254 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068264 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068273 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068283 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.068294 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068303 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068313 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.068323 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068333 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.068343 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068352 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.068362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068372 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.stopped:0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068386 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.068396 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.068406 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068416 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.068426 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068436 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068446 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.068456 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068466 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068477 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068487 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.068497 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068507 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068516 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068526 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.068542 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068552 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068562 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.068572 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068582 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.068592 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068602 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.068612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068622 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.timeout:0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068632 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.068642 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.068651 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068661 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.068671 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068682 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068695 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.068706 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068716 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068726 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068736 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.068747 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068757 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068766 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068776 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.068786 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068796 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068806 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.068816 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068826 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.068836 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068850 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.068860 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068870 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.failed:0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068880 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.068890 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.068900 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068909 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.068919 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.068930 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068940 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.068950 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.068960 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.068970 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.068981 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.068991 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069001 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069011 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069025 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.069035 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069045 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069055 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.069064 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069074 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.069084 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069094 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.069104 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069114 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_out.error:0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069124 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.069134 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.069143 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069153 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.069163 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069173 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069187 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.069198 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069207 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069218 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069228 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.069238 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069248 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069258 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069268 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.069278 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069288 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069298 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.069308 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069317 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.069327 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069341 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.069351 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069361 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.attempted:0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069371 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.069381 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.069391 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069401 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.069411 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069421 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069431 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.069442 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069462 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069472 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.069483 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069493 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069503 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069512 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.069522 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069532 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069546 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.069556 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069566 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.069576 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069586 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.069596 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069606 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.completed:0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069616 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.069626 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.069635 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069645 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.069655 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069666 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069675 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.069686 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069696 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069717 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.069743 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069753 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069762 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069772 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.069782 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069792 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069801 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.069811 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069821 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.069831 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069841 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.069851 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069861 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.stopped:0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069870 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.069880 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.069890 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069899 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.069909 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.069919 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069933 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.069943 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069953 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.069964 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.069973 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.069984 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.069993 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070003 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070013 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.070023 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070032 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070042 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.070052 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070062 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.070071 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070081 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.070091 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070101 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.no_channel:0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070111 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.070121 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.070130 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070144 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.070154 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070164 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070174 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.070184 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070194 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070205 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070214 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.070225 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070235 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070244 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070254 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.070264 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070274 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070284 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.070293 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070303 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.070313 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070323 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.070333 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070343 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.failed:0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070356 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.070366 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.070376 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070386 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.070396 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070406 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070415 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.070426 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070436 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070446 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070456 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.070466 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070476 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070486 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070495 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.070505 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070515 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070525 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.070535 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070544 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.070554 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070564 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.070574 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070584 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.timeout:0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070597 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.070607 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.070617 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070627 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.070637 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070647 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070657 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.070667 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070677 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070687 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070697 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.070707 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070717 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070727 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070737 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.070746 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070756 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070766 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.070776 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070785 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.070795 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070805 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.070815 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070825 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.interbsc_ho_in.error:0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070834 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.070844 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.070854 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070868 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.070878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070888 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070898 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.070909 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070921 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.070933 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070944 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.070954 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.070963 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.070973 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.070983 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.070993 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071003 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071012 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.071022 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071032 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.071042 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071052 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.071062 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071072 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.attempted:0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071081 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.071091 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.071101 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071111 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.071121 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071131 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071144 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.071155 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071165 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071175 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071185 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.071195 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071205 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071215 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071225 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.071235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071244 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071254 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.071264 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.071284 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071294 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.071304 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071314 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.completed:0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071323 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.071333 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.071343 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071353 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.071363 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071373 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071383 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.071393 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071403 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071414 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071423 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.071434 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071453 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071467 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.071477 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071487 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071496 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.071506 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071516 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.071526 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071536 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.071546 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071556 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.stopped:0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071565 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.071575 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.071585 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071595 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.071605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071615 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071624 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.071635 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071644 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071655 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071665 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.071675 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071684 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071694 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071704 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.071714 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071724 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071737 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.071749 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071758 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.071768 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071778 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.071788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071798 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.no_channel:0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071814 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.071824 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.071834 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071843 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.071853 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071864 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071873 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.071883 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071893 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071904 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071915 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.071927 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.071939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.071948 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071958 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.071968 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.071978 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.071987 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.071997 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072007 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.072016 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072026 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.072036 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072046 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.timeout:0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072055 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.072065 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.072075 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072084 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.072094 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072104 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072114 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.072124 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072134 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072144 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072154 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.072164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072173 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072183 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072193 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.072203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072212 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072226 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.072236 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072246 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.072256 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072265 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.072275 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072285 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.failed:0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072295 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.072305 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.072314 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072324 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.072334 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072344 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072353 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.072364 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072373 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072384 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072393 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.072404 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072413 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072423 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072433 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.072443 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072452 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072462 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.072472 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072481 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.072491 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072501 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.072511 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072520 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.srvcc.error:0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072530 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.072540 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.072549 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072562 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.072572 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072582 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072591 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.072601 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072611 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072622 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072631 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.072641 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072651 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072661 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072671 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.072680 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072690 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072700 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.072714 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072723 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.072733 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072743 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.072753 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072763 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.paging.attempted:0|c 05:48:59.072772 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.072782 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.072792 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 05:48:59.072801 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.072811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072821 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c 05:48:59.072831 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.072841 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072851 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.072861 27 StatsD_CodecPort.ttcn:36 match_begin data: |c 05:48:59.072870 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.072883 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.072893 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.072903 27 StatsD_CodecPort.ttcn:36 match_first data: c 05:48:59.072912 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.072922 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.072932 27 StatsD_CodecPort.ttcn:36 match_list data: c 05:48:59.072941 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.072951 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.072961 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.072971 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.072980 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.072990 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.072999 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.073009 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.073019 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.073029 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.073038 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.073048 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.073058 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bsc.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.attempted", val := 0, mtype := "c", srate := omit } } 05:48:59.073152 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bsc.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.attempted", val := 0, mtype := "c", srate := omit } } } id 43 05:48:59.073173 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.073214 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bsc.0.intra_bsc_ho.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.intra_bsc_ho.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_out.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.interbsc_ho_in.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.attempted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.completed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.stopped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.no_channel", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.srvcc.error", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.attempted", val := 0, mtype := "c", srate := omit } } } id 43 05:48:59.073236 27 StatsD_Checker.ttcn:199 Message with id 43 was extracted from the queue of STATS. 05:48:59.073359 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6273632E302E706167696E672E64657461636865643A307C630A5454434E332E6273632E302E706167696E672E726573706F6E6465643A307C630A5454434E332E6273632E302E706167696E672E657870697265643A307C630A5454434E332E6273632E302E706167696E672E6E6F5F6163746976655F706167696E673A307C630A5454434E332E6273632E302E616269732E756E6B6E6F776E5F756E69745F69643A307C630A5454434E332E6273632E302E6D7363706F6F6C2E7375627363722E6E6F5F6D73633A307C630A5454434E332E6273632E302E6D7363706F6F6C2E656D6572672E666F727761726465643A307C630A5454434E332E6273632E302E6D7363706F6F6C2E656D6572672E6C6F73743A307C630A5454434E332E6273632E302E616C6C5F616C6C6F63617465642E73646363683A307C630A5454434E332E6273632E302E616C6C5F616C6C6F63617465642E7374617469635F73646363683A307C630A5454434E332E6273632E302E616C6C5F616C6C6F63617465642E7463683A307C630A5454434E332E6273632E302E616C6C5F616C6C6F63617465642E7374617469635F7463683A307C630A5454434E332E6D73632E302E6D73635F6C696E6B732E6163746976653A317C670A5454434E332E6D73632E302E6D73635F6C696E6B732E746F74616C3A307C670A5454434E332E6274732E332E757074696D652E7365636F6E64733A307C670A5454434E332E6274732E332E6368616E6C6F61646176673A307C670A5454434E332E6274732E332E6368616E5F636363685F7364636368342E757365643A307C670A5454434E332E6274732E332E6368616E5F636363685F7364636368342E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F7463685F662E757365643A307C670A5454434E332E6274732E332E6368616E5F7463685F662E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F7463685F682E757365643A307C670A5454434E332E6274732E332E6368616E5F7463685F682E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F7364636368382E757365643A307C670A5454434E332E6274732E332E6368616E5F7364636368382E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F64796E616D69635F69706163636573732E757365643A307C670A5454434E332E6274732E332E6368616E5F64796E616D69635F69706163636573732E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F636363685F7364636368345F636263682E757365643A307C67'O ("TTCN3.bsc.0.paging.detached:0|c\nTTCN3.bsc.0.paging.responded:0|c\nTTCN3.bsc.0.paging.expired:0|c\nTTCN3.bsc.0.paging.no_active_paging:0|c\nTTCN3.bsc.0.abis.unknown_unit_id:0|c\nTTCN3.bsc.0.mscpool.subscr.no_msc:0|c\nTTCN3.bsc.0.mscpool.emerg.forwarded:0|c\nTTCN3.bsc.0.mscpool.emerg.lost:0|c\nTTCN3.bsc.0.all_allocated.sdcch:0|c\nTTCN3.bsc.0.all_allocated.static_sdcch:0|c\nTTCN3.bsc.0.all_allocated.tch:0|c\nTTCN3.bsc.0.all_allocated.static_tch:0|c\nTTCN3.msc.0.msc_links.active:1|g\nTTCN3.msc.0.msc_links.total:0|g\nTTCN3.bts.3.uptime.seconds:0|g\nTTCN3.bts.3.chanloadavg:0|g\nTTCN3.bts.3.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.3.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.3.chan_tch_f.used:0|g\nTTCN3.bts.3.chan_tch_f.total:0|g\nTTCN3.bts.3.chan_tch_h.used:0|g\nTTCN3.bts.3.chan_tch_h.total:0|g\nTTCN3.bts.3.chan_sdcch8.used:0|g\nTTCN3.bts.3.chan_sdcch8.total:0|g\nTTCN3.bts.3.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.3.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g") } id 44 05:48:59.073383 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bsc.0.paging.detached:0|c\nTTCN3.bsc.0.paging.responded:0|c\nTTCN3.bsc.0.paging.expired:0|c\nTTCN3.bsc.0.paging.no_active_paging:0|c\nTTCN3.bsc.0.abis.unknown_unit_id:0|c\nTTCN3.bsc.0.mscpool.subscr.no_msc:0|c\nTTCN3.bsc.0.mscpool.emerg.forwarded:0|c\nTTCN3.bsc.0.mscpool.emerg.lost:0|c\nTTCN3.bsc.0.all_allocated.sdcch:0|c\nTTCN3.bsc.0.all_allocated.static_sdcch:0|c\nTTCN3.bsc.0.all_allocated.tch:0|c\nTTCN3.bsc.0.all_allocated.static_tch:0|c\nTTCN3.msc.0.msc_links.active:1|g\nTTCN3.msc.0.msc_links.total:0|g\nTTCN3.bts.3.uptime.seconds:0|g\nTTCN3.bts.3.chanloadavg:0|g\nTTCN3.bts.3.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.3.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.3.chan_tch_f.used:0|g\nTTCN3.bts.3.chan_tch_f.total:0|g\nTTCN3.bts.3.chan_tch_h.used:0|g\nTTCN3.bts.3.chan_tch_h.total:0|g\nTTCN3.bts.3.chan_sdcch8.used:0|g\nTTCN3.bts.3.chan_sdcch8.total:0|g\nTTCN3.bts.3.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.3.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g" 05:48:59.073417 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.paging.detached:0|c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073428 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.073438 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.073447 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073461 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.073471 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073482 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073492 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.073502 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.073512 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.073523 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073533 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.073543 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.073553 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073563 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073573 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.073583 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.073592 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073606 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.073616 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073626 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.073636 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073646 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.073656 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073666 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.paging.responded:0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073675 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.073685 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.073699 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073714 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.073724 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073735 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073744 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.073755 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.073764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.073775 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073785 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.073796 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.073805 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073815 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073829 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.073839 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.073849 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073859 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.073868 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073878 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.073888 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073898 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.073908 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073919 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.paging.expired:0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073937 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.073949 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.073960 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.073971 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.073981 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.073991 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074001 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.074011 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074021 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074032 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074041 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.074052 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074061 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074071 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074085 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.074095 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074105 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074115 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.074125 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074135 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.074144 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074154 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.074164 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074174 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.paging.no_active_paging:0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074194 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.074204 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.074214 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074224 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.074234 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074244 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074253 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.074264 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074274 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074284 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074294 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.074304 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074328 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074338 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.074348 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074358 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074367 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.074377 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074387 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.074397 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074407 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.074417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074427 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.abis.unknown_unit_id:0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074441 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.074451 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.074460 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074470 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.074480 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074490 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074500 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.074510 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074520 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074531 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074540 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.074551 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074561 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074574 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074584 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.074594 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074604 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074614 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.074624 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074633 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.074643 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074653 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.074663 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074673 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.mscpool.subscr.no_msc:0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074687 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.074697 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.074706 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074716 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.074726 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074736 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074746 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.074756 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074766 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074777 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074786 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.074797 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.074806 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074816 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074830 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.074840 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.074850 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074860 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.074870 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074879 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.074889 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074899 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.074909 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074919 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.mscpool.emerg.forwarded:0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074929 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.074942 27 StatsD_CodecPort.ttcn:36 match_first result: 35 05:48:59.074952 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074962 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.074972 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.074982 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.074992 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.075002 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075012 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075022 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075032 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.075043 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075052 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075062 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075072 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.075082 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075092 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075105 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.075115 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075125 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.075135 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075145 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.075155 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075165 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.mscpool.emerg.lost:0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075175 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.075185 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.075194 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075204 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.075218 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075228 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075238 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.075249 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075258 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075269 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075279 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.075289 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075299 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075309 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075318 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.075328 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075338 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075348 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.075358 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075371 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.075382 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075391 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.075401 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075411 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.all_allocated.sdcch:0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075421 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.075431 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.075441 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075450 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.075460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075470 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075480 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.075491 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075500 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075511 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075526 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.075536 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075546 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075556 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075566 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.075576 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075585 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075595 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.075605 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075615 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.075625 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075635 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.075644 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075654 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.all_allocated.static_sdcch:0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075668 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.075678 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.075688 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075698 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.075708 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075718 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075728 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.075738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075748 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075758 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075768 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.075779 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075798 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075808 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.075818 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.075828 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075841 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.075851 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.075871 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075881 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.075891 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075901 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.all_allocated.tch:0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075911 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.075923 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.075933 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075942 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.075952 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.075962 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.075978 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.075989 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.075998 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076009 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076019 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.076029 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076038 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076048 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076058 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.076068 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076077 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076087 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.076097 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076107 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.076117 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076127 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.076137 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076151 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.all_allocated.static_tch:0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076161 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.076171 27 StatsD_CodecPort.ttcn:36 match_first result: 36 05:48:59.076180 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076190 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.076200 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076210 27 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076220 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.076230 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076240 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076251 27 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076261 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.076271 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076291 27 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076300 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.076310 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076320 27 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076334 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.076344 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076354 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.076364 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076374 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.076384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076394 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.msc_links.active:1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076404 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.076414 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.076423 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076433 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.076443 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076453 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076463 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.076473 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076483 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076494 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076508 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.076518 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076538 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076548 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.076558 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076567 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076577 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.076587 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076597 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.076607 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076617 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.076627 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076637 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.msc.0.msc_links.total:0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076646 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.076656 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.076666 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076680 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.076690 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076700 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076710 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.076720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076741 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076751 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.076761 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076781 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076790 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.076800 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076810 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076820 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.076830 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076840 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.076850 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076863 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.076873 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076883 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.uptime.seconds:0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076893 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.076903 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.076913 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076923 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.076933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.076943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.076963 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.076973 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.076984 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.076993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.077004 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077023 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077033 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.077042 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077052 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077066 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.077076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.077095 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077105 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.077115 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077125 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chanloadavg:0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077134 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.077144 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.077154 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077163 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.077173 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077183 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077193 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.077203 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077213 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077223 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077233 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.077243 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077253 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077263 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.077286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077296 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077306 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.077315 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077325 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.077335 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077344 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.077354 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077364 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_ccch_sdcch4.used:0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077374 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.077384 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.077393 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077403 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.077413 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077423 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077432 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.077443 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077452 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077463 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077476 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.077487 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077496 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077506 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077516 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.077526 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077535 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077545 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.077555 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077564 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.077574 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077584 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.077594 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077603 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_ccch_sdcch4.total:0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077613 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.077623 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.077633 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077642 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.077652 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077662 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077672 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.077682 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077692 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077703 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077729 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.077740 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077750 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077760 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077769 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.077779 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077789 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077798 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.077808 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077818 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.077828 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077837 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.077847 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077857 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch_f.used:0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077867 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.077877 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.077886 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077896 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.077906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.077916 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077926 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.077936 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077946 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.077956 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.077970 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.077980 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.077990 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078000 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078009 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.078019 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078029 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078039 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.078048 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078058 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.078068 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078077 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.078087 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078097 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch_f.total:0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078107 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.078117 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.078126 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078136 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.078146 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078159 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078170 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.078181 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078193 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078204 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078217 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.078228 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078238 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078247 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078257 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.078267 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078277 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078287 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.078296 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078306 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.078316 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078326 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.078336 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078346 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch_h.used:0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078355 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.078365 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.078375 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078385 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.078394 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078405 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078414 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.078425 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078434 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078445 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078454 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.078465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078475 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078484 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078494 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.078508 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078518 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078528 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.078537 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078547 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.078557 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078566 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.078576 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078586 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_tch_h.total:0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078596 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.078606 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.078615 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078625 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.078635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078645 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078655 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.078665 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078675 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078685 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078695 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.078705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078715 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078725 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078735 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.078745 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078754 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078764 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.078774 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078784 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.078794 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078807 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.078817 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078827 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch8.used:0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078837 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.078847 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.078857 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078866 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.078876 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078886 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078896 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.078906 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078916 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078926 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078936 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.078946 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.078956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.078966 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.078976 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.078985 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.078995 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079005 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.079015 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079024 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.079034 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079044 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.079054 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079064 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch8.total:0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079073 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.079083 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.079093 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079102 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.079112 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079122 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079132 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.079146 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079156 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079167 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079176 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.079187 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079196 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079206 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079216 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.079226 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079235 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079245 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.079255 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079265 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.079274 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079284 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.079294 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079304 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_dynamic_ipaccess.used:0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079314 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.079323 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.079333 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079343 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.079353 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079363 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079372 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.079383 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079392 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079403 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079412 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.079423 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079432 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079442 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079452 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.079462 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079471 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079481 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.079491 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079501 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.079510 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079520 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.079530 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079540 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_dynamic_ipaccess.total:0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079555 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.079565 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.079575 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079585 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.079595 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079605 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079614 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.079625 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079635 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079645 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079655 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.079665 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079675 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079685 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079694 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.079704 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079714 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079724 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.079733 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079743 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.079753 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079763 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.079773 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079783 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_ccch_sdcch4_cbch.used:0|g 05:48:59.079793 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.079802 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.079812 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.079822 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.079832 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079842 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.079852 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.079862 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079872 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.079882 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.079892 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.079902 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.079912 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.079922 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.079934 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.079944 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.079954 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.079963 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.079973 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.079982 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.079992 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.080002 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.080012 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.080022 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.080031 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.080041 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.080051 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.080061 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.080070 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.080080 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bsc.0.paging.detached", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.abis.unknown_unit_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.subscr.no_msc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.msc_links.active", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.msc.0.msc_links.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit } } 05:48:59.080165 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bsc.0.paging.detached", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.abis.unknown_unit_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.subscr.no_msc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.msc_links.active", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.msc.0.msc_links.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit } } } id 44 05:48:59.080189 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.080230 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bsc.0.paging.detached", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.responded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.expired", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.paging.no_active_paging", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.abis.unknown_unit_id", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.subscr.no_msc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.forwarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.mscpool.emerg.lost", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_sdcch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bsc.0.all_allocated.static_tch", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.msc.0.msc_links.active", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.msc.0.msc_links.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit } } } id 44 05:48:59.080247 27 StatsD_Checker.ttcn:199 Message with id 44 was extracted from the queue of STATS. 05:48:59.080369 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E332E6368616E5F636363685F7364636368345F636263682E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F7364636368385F636263682E757365643A307C670A5454434E332E6274732E332E6368616E5F7364636368385F636263682E746F74616C3A307C670A5454434E332E6274732E332E6368616E5F64796E616D69635F6F736D6F636F6D2E757365643A307C670A5454434E332E6274732E332E6368616E5F64796E616D69635F6F736D6F636F6D2E746F74616C3A307C670A5454434E332E6274732E332E54333132323A31307C670A5454434E332E6274732E332E726163685F627573793A307C670A5454434E332E6274732E332E726163685F6163636573733A307C670A5454434E332E6274732E332E6F6D6C5F636F6E6E65637465643A307C670A5454434E332E6274732E332E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E332E6C6368616E5F626F726B656E3A307C670A5454434E332E6274732E332E74735F626F726B656E3A307C670A5454434E332E6274732E332E6E756D5F7472782E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E332E6E756D5F7472782E746F74616C3A317C670A5454434E332E6274732E332E706167696E672E726571756573745F71756575655F6C656E6774683A307C670A5454434E332E6274732E332E706167696E672E617661696C61626C655F736C6F74733A307C670A5454434E332E6274732E332E706167696E672E74333131333A307C670A5454434E332E6274732E322E757074696D652E7365636F6E64733A34387C670A5454434E332E6274732E322E6368616E6C6F61646176673A307C670A5454434E332E6274732E322E6368616E5F636363685F7364636368342E757365643A307C670A5454434E332E6274732E322E6368616E5F636363685F7364636368342E746F74616C3A307C670A5454434E332E6274732E322E6368616E5F7463685F662E757365643A307C670A5454434E332E6274732E322E6368616E5F7463685F662E746F74616C3A31397C670A5454434E332E6274732E322E6368616E5F7463685F682E757365643A307C670A5454434E332E6274732E322E6368616E5F7463685F682E746F74616C3A387C670A5454434E332E6274732E322E6368616E5F7364636368382E757365643A307C670A5454434E332E6274732E322E6368616E5F7364636368382E746F74616C3A307C670A5454434E332E6274732E322E6368616E5F64796E616D69635F69706163636573732E757365643A307C67'O ("TTCN3.bts.3.chan_ccch_sdcch4_cbch.total:0|g\nTTCN3.bts.3.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.3.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.3.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.3.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.3.T3122:10|g\nTTCN3.bts.3.rach_busy:0|g\nTTCN3.bts.3.rach_access:0|g\nTTCN3.bts.3.oml_connected:0|g\nTTCN3.bts.3.rsl_connected:0|g\nTTCN3.bts.3.lchan_borken:0|g\nTTCN3.bts.3.ts_borken:0|g\nTTCN3.bts.3.num_trx.rsl_connected:0|g\nTTCN3.bts.3.num_trx.total:1|g\nTTCN3.bts.3.paging.request_queue_length:0|g\nTTCN3.bts.3.paging.available_slots:0|g\nTTCN3.bts.3.paging.t3113:0|g\nTTCN3.bts.2.uptime.seconds:48|g\nTTCN3.bts.2.chanloadavg:0|g\nTTCN3.bts.2.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.2.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.2.chan_tch_f.used:0|g\nTTCN3.bts.2.chan_tch_f.total:19|g\nTTCN3.bts.2.chan_tch_h.used:0|g\nTTCN3.bts.2.chan_tch_h.total:8|g\nTTCN3.bts.2.chan_sdcch8.used:0|g\nTTCN3.bts.2.chan_sdcch8.total:0|g\nTTCN3.bts.2.chan_dynamic_ipaccess.used:0|g") } id 45 05:48:59.080393 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.3.chan_ccch_sdcch4_cbch.total:0|g\nTTCN3.bts.3.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.3.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.3.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.3.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.3.T3122:10|g\nTTCN3.bts.3.rach_busy:0|g\nTTCN3.bts.3.rach_access:0|g\nTTCN3.bts.3.oml_connected:0|g\nTTCN3.bts.3.rsl_connected:0|g\nTTCN3.bts.3.lchan_borken:0|g\nTTCN3.bts.3.ts_borken:0|g\nTTCN3.bts.3.num_trx.rsl_connected:0|g\nTTCN3.bts.3.num_trx.total:1|g\nTTCN3.bts.3.paging.request_queue_length:0|g\nTTCN3.bts.3.paging.available_slots:0|g\nTTCN3.bts.3.paging.t3113:0|g\nTTCN3.bts.2.uptime.seconds:48|g\nTTCN3.bts.2.chanloadavg:0|g\nTTCN3.bts.2.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.2.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.2.chan_tch_f.used:0|g\nTTCN3.bts.2.chan_tch_f.total:19|g\nTTCN3.bts.2.chan_tch_h.used:0|g\nTTCN3.bts.2.chan_tch_h.total:8|g\nTTCN3.bts.2.chan_sdcch8.used:0|g\nTTCN3.bts.2.chan_sdcch8.total:0|g\nTTCN3.bts.2.chan_dynamic_ipaccess.used:0|g" 05:48:59.080427 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080441 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.080451 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.080461 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080471 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.080481 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080491 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080501 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.080512 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.080521 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.080532 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080542 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.080553 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.080562 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080572 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080586 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.080597 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.080606 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080616 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.080626 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080636 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.080646 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080656 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.080670 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080680 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch8_cbch.used:0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080690 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.080700 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.080709 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080719 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.080729 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080739 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080749 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.080759 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.080769 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.080780 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080793 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.080804 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.080814 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080823 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080833 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.080843 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.080853 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080863 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.080872 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080882 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.080892 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080906 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.080916 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080926 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_sdcch8_cbch.total:0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080935 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.080945 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.080955 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080965 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.080974 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.080984 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.080994 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.081005 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081014 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081025 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081039 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.081049 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081059 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081069 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081078 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.081088 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081098 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081108 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.081117 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081127 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.081137 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081151 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.081161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081171 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_dynamic_osmocom.used:0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081181 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.081191 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.081201 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081210 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.081220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081230 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081240 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.081251 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081260 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081271 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081285 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.081296 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081305 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081315 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081325 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.081335 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081345 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081355 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.081364 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081374 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.081384 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081398 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.081408 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081418 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.chan_dynamic_osmocom.total:0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081428 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.081438 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.081448 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081457 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.081467 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081477 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081487 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.081498 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081507 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081518 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081528 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.081542 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081552 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081562 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081572 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.081582 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081592 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081601 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.081611 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081621 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.081631 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081641 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.081651 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081661 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.T3122:10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081674 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.081684 27 StatsD_CodecPort.ttcn:36 match_first result: 17 05:48:59.081694 27 StatsD_CodecPort.ttcn:36 match_begin data: :10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081708 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.081719 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081730 27 StatsD_CodecPort.ttcn:36 match_first data: 10|g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081740 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.081750 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081760 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.081771 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081781 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.081791 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.081801 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081811 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081827 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.081837 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.081846 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081856 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.081866 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081876 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.081886 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081896 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.081906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081916 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rach_busy:0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081926 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.081936 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.081945 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081959 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.081969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.081980 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.081990 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.082000 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082010 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082021 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082031 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.082041 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082051 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082061 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082071 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.082080 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082090 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082104 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.082114 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082124 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.082134 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082143 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.082153 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082163 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rach_access:0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082173 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.082183 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.082193 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082203 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.082213 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082223 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082236 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.082247 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082257 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082267 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082277 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.082288 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082297 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082307 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082317 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.082327 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082337 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082347 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.082356 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082366 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.082376 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082390 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.082401 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082411 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.oml_connected:0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082420 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.082430 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.082440 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082450 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.082460 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082470 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082480 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.082491 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082500 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082511 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082521 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.082536 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082545 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082555 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082565 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.082575 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082585 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082595 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.082605 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082615 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.082624 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082634 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.082644 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082654 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.rsl_connected:0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082664 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.082678 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.082687 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082697 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.082707 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082717 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082727 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.082738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082747 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082758 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082768 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.082778 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082788 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082798 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082807 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.082817 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.082827 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082841 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.082851 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082861 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.082871 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082881 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.082891 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082900 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.lchan_borken:0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082910 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.082920 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.082930 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082940 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.082950 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.082960 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.082970 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.082980 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.082990 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083001 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083015 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.083025 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083035 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083045 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083055 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.083064 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083074 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083084 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.083094 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083104 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.083114 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083124 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.083133 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083143 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.ts_borken:0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083157 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.083167 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.083177 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083187 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.083196 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083207 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083216 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.083227 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083236 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083247 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083257 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.083267 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083277 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083287 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083297 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.083307 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083316 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083330 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.083340 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083350 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.083360 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083370 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.083380 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083390 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.num_trx.rsl_connected:0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083399 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.083409 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.083419 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083429 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.083438 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083449 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083458 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.083469 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083478 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083489 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083503 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.083513 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083523 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083533 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083542 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.083552 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083562 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083572 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.083582 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083591 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.083601 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083611 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.083621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083631 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.num_trx.total:1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083641 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.083651 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.083660 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083691 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.083702 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083712 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083722 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.083732 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083742 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083753 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083763 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.083773 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083783 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083793 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083802 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.083812 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083822 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083832 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.083841 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083851 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.083861 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083875 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.083885 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083895 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.request_queue_length:0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083905 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.083915 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.083924 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083934 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.083944 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.083954 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.083964 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.083974 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.083984 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.083995 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084004 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.084015 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084024 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084034 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084044 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.084054 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084063 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084077 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.084087 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084097 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.084106 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084116 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.084126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084136 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.available_slots:0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084145 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.084155 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.084165 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084174 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.084184 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084194 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084204 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.084214 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084224 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084234 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084244 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.084260 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084270 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084279 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084289 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.084299 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084308 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084318 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.084328 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084338 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.084347 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084357 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.084367 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084377 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.3.paging.t3113:0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084386 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.084396 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.084406 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084415 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.084425 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084435 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084444 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.084455 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084468 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084479 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084489 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.084499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084509 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084518 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084528 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.084538 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084547 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084557 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.084567 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084576 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.084586 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084595 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.084605 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084615 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.uptime.seconds:48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084625 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.084635 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.084644 27 StatsD_CodecPort.ttcn:36 match_begin data: :48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084654 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.084664 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084674 27 StatsD_CodecPort.ttcn:36 match_first data: 48|g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084687 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.084698 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084707 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.084718 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084728 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.084738 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084747 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084757 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084767 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.084777 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084786 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084796 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.084806 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084815 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.084825 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084835 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.084845 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084854 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chanloadavg:0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084864 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.084874 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.084883 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084893 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.084906 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084917 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084926 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.084937 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084946 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.084957 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.084966 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.084977 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.084986 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.084996 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085005 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.085015 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085025 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085035 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.085044 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085054 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.085064 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085074 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.085084 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085094 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_ccch_sdcch4.used:0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085104 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.085114 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.085123 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085133 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.085147 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085157 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085167 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.085177 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085187 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085197 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085207 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.085217 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085227 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085237 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085246 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.085256 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085266 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085275 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.085285 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085295 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.085305 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085315 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.085325 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085335 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_ccch_sdcch4.total:0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085344 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.085354 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.085364 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085374 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.085383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085394 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085414 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.085425 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085435 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085445 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085455 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.085465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085475 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085485 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085495 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.085504 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085514 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085524 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.085534 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085544 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.085553 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085563 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.085573 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085583 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch_f.used:0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085593 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.085603 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.085613 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085622 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.085632 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085642 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085652 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.085662 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085672 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085683 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085692 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.085702 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085717 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085731 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085740 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.085750 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.085760 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085770 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.085779 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085789 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.085799 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085809 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.085819 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085829 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch_f.total:19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085838 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.085848 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.085858 27 StatsD_CodecPort.ttcn:36 match_begin data: :19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085868 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.085878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085888 27 StatsD_CodecPort.ttcn:36 match_first data: 19|g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085898 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.085908 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085918 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.085929 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085940 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.085952 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.085964 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.085974 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.085983 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.085993 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086003 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086013 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.086022 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086032 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.086046 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086056 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.086066 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086076 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch_h.used:0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086086 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.086096 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.086105 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086115 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.086125 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086135 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086144 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.086155 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086164 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086175 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086184 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.086195 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086214 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086224 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.086233 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086243 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086253 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.086262 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086272 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.086282 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086292 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.086302 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086312 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_tch_h.total:8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086321 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.086331 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.086341 27 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086351 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.086360 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086371 27 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086380 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.086390 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086400 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086415 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086425 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.086435 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086445 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086454 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086464 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.086474 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086483 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086493 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.086503 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086513 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.086522 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086532 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.086542 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086552 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch8.used:0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086562 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.086572 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.086582 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086591 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.086601 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086611 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086621 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.086631 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086641 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086652 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086661 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.086672 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086681 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086691 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086701 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.086711 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086720 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086730 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.086740 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086750 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.086760 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086769 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.086779 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086789 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch8.total:0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086799 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.086808 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.086818 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086828 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.086838 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086853 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086862 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.086873 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086883 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086893 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086903 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.086913 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.086923 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.086933 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086942 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.086952 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.086962 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086972 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.086982 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.086991 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.087001 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.087011 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.087021 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087031 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_dynamic_ipaccess.used:0|g 05:48:59.087040 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.087050 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.087060 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.087070 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.087080 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087090 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.087099 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.087110 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.087119 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.087130 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.087140 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.087150 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.087160 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087169 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.087179 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.087189 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.087199 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.087208 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.087218 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.087228 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.087238 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.087248 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.087257 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.087267 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.087276 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.087287 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.087296 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.087306 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.087316 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.087326 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.available_slots", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.total", val := 19, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.total", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit } } 05:48:59.087416 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.available_slots", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.total", val := 19, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.total", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit } } } id 45 05:48:59.087440 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.087482 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.3.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.available_slots", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.3.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_f.total", val := 19, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_tch_h.total", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit } } } id 45 05:48:59.087499 27 StatsD_Checker.ttcn:199 Message with id 45 was extracted from the queue of STATS. 05:48:59.087623 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E322E6368616E5F64796E616D69635F69706163636573732E746F74616C3A307C670A5454434E332E6274732E322E6368616E5F636363685F7364636368345F636263682E757365643A307C670A5454434E332E6274732E322E6368616E5F636363685F7364636368345F636263682E746F74616C3A347C670A5454434E332E6274732E322E6368616E5F7364636368385F636263682E757365643A307C670A5454434E332E6274732E322E6368616E5F7364636368385F636263682E746F74616C3A307C670A5454434E332E6274732E322E6368616E5F64796E616D69635F6F736D6F636F6D2E757365643A307C670A5454434E332E6274732E322E6368616E5F64796E616D69635F6F736D6F636F6D2E746F74616C3A307C670A5454434E332E6274732E322E54333132323A31307C670A5454434E332E6274732E322E726163685F627573793A307C670A5454434E332E6274732E322E726163685F6163636573733A307C670A5454434E332E6274732E322E6F6D6C5F636F6E6E65637465643A317C670A5454434E332E6274732E322E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E322E6C6368616E5F626F726B656E3A307C670A5454434E332E6274732E322E74735F626F726B656E3A307C670A5454434E332E6274732E322E6E756D5F7472782E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E322E6E756D5F7472782E746F74616C3A347C670A5454434E332E6274732E322E706167696E672E726571756573745F71756575655F6C656E6774683A307C670A5454434E332E6274732E322E706167696E672E617661696C61626C655F736C6F74733A31367C670A5454434E332E6274732E322E706167696E672E74333131333A307C670A5454434E332E6274732E312E757074696D652E7365636F6E64733A34387C670A5454434E332E6274732E312E6368616E6C6F61646176673A307C670A5454434E332E6274732E312E6368616E5F636363685F7364636368342E757365643A307C670A5454434E332E6274732E312E6368616E5F636363685F7364636368342E746F74616C3A307C670A5454434E332E6274732E312E6368616E5F7463685F662E757365643A307C670A5454434E332E6274732E312E6368616E5F7463685F662E746F74616C3A347C670A5454434E332E6274732E312E6368616E5F7463685F682E757365643A307C670A5454434E332E6274732E312E6368616E5F7463685F682E746F74616C3A327C670A5454434E332E6274732E312E6368616E5F7364636368382E757365643A307C67'O ("TTCN3.bts.2.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.2.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.2.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.2.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.2.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.2.T3122:10|g\nTTCN3.bts.2.rach_busy:0|g\nTTCN3.bts.2.rach_access:0|g\nTTCN3.bts.2.oml_connected:1|g\nTTCN3.bts.2.rsl_connected:0|g\nTTCN3.bts.2.lchan_borken:0|g\nTTCN3.bts.2.ts_borken:0|g\nTTCN3.bts.2.num_trx.rsl_connected:0|g\nTTCN3.bts.2.num_trx.total:4|g\nTTCN3.bts.2.paging.request_queue_length:0|g\nTTCN3.bts.2.paging.available_slots:16|g\nTTCN3.bts.2.paging.t3113:0|g\nTTCN3.bts.1.uptime.seconds:48|g\nTTCN3.bts.1.chanloadavg:0|g\nTTCN3.bts.1.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.1.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.1.chan_tch_f.used:0|g\nTTCN3.bts.1.chan_tch_f.total:4|g\nTTCN3.bts.1.chan_tch_h.used:0|g\nTTCN3.bts.1.chan_tch_h.total:2|g\nTTCN3.bts.1.chan_sdcch8.used:0|g") } id 46 05:48:59.087647 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.2.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.2.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.2.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.2.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.2.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.2.T3122:10|g\nTTCN3.bts.2.rach_busy:0|g\nTTCN3.bts.2.rach_access:0|g\nTTCN3.bts.2.oml_connected:1|g\nTTCN3.bts.2.rsl_connected:0|g\nTTCN3.bts.2.lchan_borken:0|g\nTTCN3.bts.2.ts_borken:0|g\nTTCN3.bts.2.num_trx.rsl_connected:0|g\nTTCN3.bts.2.num_trx.total:4|g\nTTCN3.bts.2.paging.request_queue_length:0|g\nTTCN3.bts.2.paging.available_slots:16|g\nTTCN3.bts.2.paging.t3113:0|g\nTTCN3.bts.1.uptime.seconds:48|g\nTTCN3.bts.1.chanloadavg:0|g\nTTCN3.bts.1.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.1.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.1.chan_tch_f.used:0|g\nTTCN3.bts.1.chan_tch_f.total:4|g\nTTCN3.bts.1.chan_tch_h.used:0|g\nTTCN3.bts.1.chan_tch_h.total:2|g\nTTCN3.bts.1.chan_sdcch8.used:0|g" 05:48:59.087681 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_dynamic_ipaccess.total:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087696 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.087706 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.087716 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087726 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.087736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087747 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087757 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.087767 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.087777 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.087788 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087798 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.087808 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.087818 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087828 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087842 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.087852 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.087862 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087872 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.087881 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087891 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.087901 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087911 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.087921 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087931 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087947 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.087957 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.087966 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.087976 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.087986 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.087996 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088006 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.088016 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088026 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088037 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088051 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.088062 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088071 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088081 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088091 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.088101 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088111 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088120 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.088130 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088140 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.088150 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088164 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.088174 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088184 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088193 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.088203 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.088213 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088223 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.088233 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088243 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088252 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.088263 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088273 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088283 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088297 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.088308 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088317 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088327 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088337 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.088347 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088357 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088366 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.088376 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088386 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.088396 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088409 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.088419 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088429 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch8_cbch.used:0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088439 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.088449 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.088459 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088468 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.088478 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088489 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088498 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.088509 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088518 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088529 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088543 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.088553 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088563 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088573 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088582 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.088592 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088602 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088612 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.088622 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088632 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.088642 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088655 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.088665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088675 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_sdcch8_cbch.total:0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088685 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.088695 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.088705 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088714 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.088724 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088734 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088744 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.088754 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088775 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088784 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.088799 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.088808 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088818 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088828 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.088838 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.088848 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088858 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.088867 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088877 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.088887 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088897 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.088907 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088917 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_dynamic_osmocom.used:0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088930 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.088940 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.088950 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088960 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.088969 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.088980 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.088989 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.089000 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089009 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089020 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089030 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.089040 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089050 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089059 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089073 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.089083 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089093 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089102 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.089112 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089122 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.089132 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089142 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.089151 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089161 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.chan_dynamic_osmocom.total:0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089171 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.089181 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.089191 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089204 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.089214 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089224 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089234 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.089245 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089254 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089265 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089275 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.089285 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089294 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089304 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089314 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.089324 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089334 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089347 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.089357 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089367 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.089377 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089387 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.089397 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089407 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.T3122:10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089416 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.089426 27 StatsD_CodecPort.ttcn:36 match_first result: 17 05:48:59.089436 27 StatsD_CodecPort.ttcn:36 match_begin data: :10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089446 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.089456 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089466 27 StatsD_CodecPort.ttcn:36 match_first data: 10|g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089479 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.089490 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089500 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.089510 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089520 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.089530 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089540 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089550 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089560 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.089570 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089579 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089589 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.089599 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089608 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.089618 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089632 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.089642 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089652 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rach_busy:0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089661 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.089671 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.089681 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089691 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.089700 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089722 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089732 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.089742 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089752 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089763 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089772 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.089783 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089792 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089802 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089816 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.089826 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.089836 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089845 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.089855 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089865 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.089875 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089884 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.089894 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089904 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rach_access:0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089914 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.089924 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.089934 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089949 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.089959 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.089970 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.089979 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.089990 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.089999 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090010 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090020 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.090030 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090040 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090050 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090059 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.090069 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090079 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090089 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.090099 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090112 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.090122 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090132 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.090142 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090152 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.oml_connected:1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090162 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.090171 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.090181 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090191 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.090201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090211 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090221 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.090231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090240 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090251 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090261 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.090271 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090295 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090304 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.090314 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090324 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090334 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.090343 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090354 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.090363 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090373 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.090383 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090393 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.rsl_connected:0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090403 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.090413 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.090423 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090436 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.090446 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090457 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090467 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.090477 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090487 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090497 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090507 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.090518 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090527 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090537 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090547 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.090557 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090567 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090577 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.090586 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090596 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.090606 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090620 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.090630 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090640 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.lchan_borken:0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090650 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.090660 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.090670 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090680 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.090690 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090700 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090710 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.090720 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090741 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090750 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.090761 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090771 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090780 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090794 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.090804 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090814 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090824 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.090834 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090844 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.090854 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090864 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.090874 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090884 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.ts_borken:0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090893 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.090903 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.090913 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090923 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.090933 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.090943 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090953 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.090967 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.090977 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.090988 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.090998 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.091008 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091028 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091038 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.091048 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091058 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091067 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.091077 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091087 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.091097 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091107 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.091117 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091127 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.num_trx.rsl_connected:0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091137 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.091147 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.091160 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091170 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.091180 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091191 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091200 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.091211 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091221 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091231 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091241 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.091251 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091261 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091271 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091280 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.091290 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091300 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091310 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.091319 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091329 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.091339 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091353 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.091363 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091373 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.num_trx.total:4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091382 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.091392 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.091402 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091412 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.091421 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091431 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091441 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.091451 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091461 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091472 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091481 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.091492 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091501 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091511 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091521 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.091531 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091540 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091550 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.091564 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091574 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.091583 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091593 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.091603 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091613 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.request_queue_length:0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091623 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.091632 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.091642 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091652 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.091662 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091672 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091681 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.091692 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091701 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091712 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091721 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.091732 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091741 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091751 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091761 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.091775 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.091784 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091794 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.091804 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091814 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.091823 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091833 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.091843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091853 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.available_slots:16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091862 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.091872 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.091882 27 StatsD_CodecPort.ttcn:36 match_begin data: :16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091891 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.091901 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091911 27 StatsD_CodecPort.ttcn:36 match_first data: 16|g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091921 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.091931 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091941 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.091951 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.091961 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.091971 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.091981 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.091991 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092004 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.092014 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092024 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092034 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.092043 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092053 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.092063 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092073 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.092082 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092092 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.2.paging.t3113:0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092102 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.092112 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.092121 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092131 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.092141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092151 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092160 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.092171 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092180 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092191 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092200 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.092211 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092220 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092230 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092244 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.092254 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092263 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092273 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.092283 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092292 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.092302 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092312 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.092321 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092331 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.uptime.seconds:48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092341 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.092351 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.092360 27 StatsD_CodecPort.ttcn:36 match_begin data: :48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092370 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.092380 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092390 27 StatsD_CodecPort.ttcn:36 match_first data: 48|g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092399 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.092410 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092419 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.092430 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092439 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.092450 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092459 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092469 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092479 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.092488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092502 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092512 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.092521 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092531 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.092541 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092550 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.092560 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092570 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chanloadavg:0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092580 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.092590 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.092599 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092609 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.092619 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092629 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092638 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.092649 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092658 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092669 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092678 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.092688 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092698 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092708 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092717 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.092727 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092737 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092747 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.092756 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092770 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.092780 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092790 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.092800 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092810 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_ccch_sdcch4.used:0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092819 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.092829 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.092839 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092849 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.092859 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092869 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092878 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.092889 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092899 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092909 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092919 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.092929 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.092939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.092949 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092958 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.092968 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.092978 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.092988 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.092998 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093007 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.093017 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093027 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.093037 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093047 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_ccch_sdcch4.total:0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093062 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.093072 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.093082 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093091 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.093101 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093111 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093121 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.093131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093141 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093151 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093161 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.093171 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093181 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093191 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093200 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.093210 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093220 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093229 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.093239 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093249 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.093259 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093268 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.093278 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093288 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch_f.used:0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093298 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.093308 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.093318 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093327 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.093337 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093347 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093357 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.093367 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093377 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093388 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093397 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.093408 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093417 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093431 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093441 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.093451 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093461 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093470 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.093480 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093490 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.093500 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093510 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.093520 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093529 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch_f.total:4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093539 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.093549 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.093559 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093568 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.093578 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093588 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093598 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.093608 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093618 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093628 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093638 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.093648 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093658 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093667 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093677 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.093687 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093697 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093711 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.093721 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093730 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.093740 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093750 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.093760 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093770 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch_h.used:0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093780 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.093790 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.093800 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093809 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.093819 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093834 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093843 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.093854 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093864 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093874 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093884 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.093894 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.093904 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.093914 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093923 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.093933 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.093943 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093953 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.093962 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093972 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.093982 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.093992 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.094002 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094012 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_tch_h.total:2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094021 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.094031 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.094041 27 StatsD_CodecPort.ttcn:36 match_begin data: :2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094051 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.094061 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094071 27 StatsD_CodecPort.ttcn:36 match_first data: 2|g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094080 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.094091 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.094101 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.094111 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094121 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.094131 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.094141 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094151 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094160 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.094170 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.094180 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094190 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.094200 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094209 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.094219 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094229 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.094239 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094249 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch8.used:0|g 05:48:59.094258 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.094268 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.094278 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.094288 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.094297 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094308 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.094317 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.094328 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.094337 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.094352 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.094362 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.094372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.094382 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094392 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.094402 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.094412 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.094421 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.094431 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.094441 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.094451 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.094461 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.094470 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.094480 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.094490 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.094500 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.094510 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.094520 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.094529 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.094539 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.094549 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.2.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.available_slots", val := 16, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8.used", val := 0, mtype := "g", srate := omit } } 05:48:59.094635 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.available_slots", val := 16, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8.used", val := 0, mtype := "g", srate := omit } } } id 46 05:48:59.094659 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.094701 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.2.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.num_trx.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.available_slots", val := 16, mtype := "g", srate := omit }, { name := "TTCN3.bts.2.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.uptime.seconds", val := 48, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8.used", val := 0, mtype := "g", srate := omit } } } id 46 05:48:59.094722 27 StatsD_Checker.ttcn:199 Message with id 46 was extracted from the queue of STATS. 05:48:59.094847 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E312E6368616E5F7364636368382E746F74616C3A307C670A5454434E332E6274732E312E6368616E5F64796E616D69635F69706163636573732E757365643A307C670A5454434E332E6274732E312E6368616E5F64796E616D69635F69706163636573732E746F74616C3A307C670A5454434E332E6274732E312E6368616E5F636363685F7364636368345F636263682E757365643A307C670A5454434E332E6274732E312E6368616E5F636363685F7364636368345F636263682E746F74616C3A347C670A5454434E332E6274732E312E6368616E5F7364636368385F636263682E757365643A307C670A5454434E332E6274732E312E6368616E5F7364636368385F636263682E746F74616C3A307C670A5454434E332E6274732E312E6368616E5F64796E616D69635F6F736D6F636F6D2E757365643A307C670A5454434E332E6274732E312E6368616E5F64796E616D69635F6F736D6F636F6D2E746F74616C3A307C670A5454434E332E6274732E312E54333132323A31307C670A5454434E332E6274732E312E726163685F627573793A307C670A5454434E332E6274732E312E726163685F6163636573733A307C670A5454434E332E6274732E312E6F6D6C5F636F6E6E65637465643A317C670A5454434E332E6274732E312E72736C5F636F6E6E65637465643A317C670A5454434E332E6274732E312E6C6368616E5F626F726B656E3A307C670A5454434E332E6274732E312E74735F626F726B656E3A307C670A5454434E332E6274732E312E6E756D5F7472782E72736C5F636F6E6E65637465643A317C670A5454434E332E6274732E312E6E756D5F7472782E746F74616C3A317C670A5454434E332E6274732E312E706167696E672E726571756573745F71756575655F6C656E6774683A307C670A5454434E332E6274732E312E706167696E672E617661696C61626C655F736C6F74733A32337C670A5454434E332E6274732E312E706167696E672E74333131333A307C670A5454434E332E6274732E302E757074696D652E7365636F6E64733A31327C670A5454434E332E6274732E302E6368616E6C6F61646176673A307C670A5454434E332E6274732E302E6368616E5F636363685F7364636368342E757365643A307C670A5454434E332E6274732E302E6368616E5F636363685F7364636368342E746F74616C3A307C670A5454434E332E6274732E302E6368616E5F7463685F662E757365643A307C670A5454434E332E6274732E302E6368616E5F7463685F662E746F74616C3A347C670A5454434E332E6274732E302E6368616E5F7463685F682E757365643A307C67'O ("TTCN3.bts.1.chan_sdcch8.total:0|g\nTTCN3.bts.1.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.1.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.1.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.1.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.1.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.1.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.1.T3122:10|g\nTTCN3.bts.1.rach_busy:0|g\nTTCN3.bts.1.rach_access:0|g\nTTCN3.bts.1.oml_connected:1|g\nTTCN3.bts.1.rsl_connected:1|g\nTTCN3.bts.1.lchan_borken:0|g\nTTCN3.bts.1.ts_borken:0|g\nTTCN3.bts.1.num_trx.rsl_connected:1|g\nTTCN3.bts.1.num_trx.total:1|g\nTTCN3.bts.1.paging.request_queue_length:0|g\nTTCN3.bts.1.paging.available_slots:23|g\nTTCN3.bts.1.paging.t3113:0|g\nTTCN3.bts.0.uptime.seconds:12|g\nTTCN3.bts.0.chanloadavg:0|g\nTTCN3.bts.0.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.0.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.0.chan_tch_f.used:0|g\nTTCN3.bts.0.chan_tch_f.total:4|g\nTTCN3.bts.0.chan_tch_h.used:0|g") } id 47 05:48:59.094872 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.1.chan_sdcch8.total:0|g\nTTCN3.bts.1.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.1.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.1.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.1.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.1.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.1.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.1.T3122:10|g\nTTCN3.bts.1.rach_busy:0|g\nTTCN3.bts.1.rach_access:0|g\nTTCN3.bts.1.oml_connected:1|g\nTTCN3.bts.1.rsl_connected:1|g\nTTCN3.bts.1.lchan_borken:0|g\nTTCN3.bts.1.ts_borken:0|g\nTTCN3.bts.1.num_trx.rsl_connected:1|g\nTTCN3.bts.1.num_trx.total:1|g\nTTCN3.bts.1.paging.request_queue_length:0|g\nTTCN3.bts.1.paging.available_slots:23|g\nTTCN3.bts.1.paging.t3113:0|g\nTTCN3.bts.0.uptime.seconds:12|g\nTTCN3.bts.0.chanloadavg:0|g\nTTCN3.bts.0.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.0.chan_ccch_sdcch4.total:0|g\nTTCN3.bts.0.chan_tch_f.used:0|g\nTTCN3.bts.0.chan_tch_f.total:4|g\nTTCN3.bts.0.chan_tch_h.used:0|g" 05:48:59.094906 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch8.total:0|g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.094916 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.094926 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.094936 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.094946 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.094956 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.094966 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.094980 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.094991 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095000 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095011 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095021 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.095032 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095041 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095051 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095061 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.095071 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095081 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095091 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.095100 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095114 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.095124 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095134 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.095144 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095154 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_dynamic_ipaccess.used:0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095164 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.095174 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.095184 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095197 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.095207 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095217 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095227 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.095237 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095247 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095258 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095268 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.095278 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095287 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095297 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095307 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.095317 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095327 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095340 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.095350 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095360 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.095370 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095380 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.095389 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095399 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_dynamic_ipaccess.total:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095409 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.095419 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.095429 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095442 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.095452 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095462 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095472 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.095482 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095492 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095503 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095512 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.095523 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095532 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095542 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095552 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.095562 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095571 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095585 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.095595 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095605 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.095615 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095624 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.095634 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095644 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095654 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.095664 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.095674 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095687 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.095697 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095707 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095717 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.095727 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095737 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095748 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095758 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.095768 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095777 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095787 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095797 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.095807 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095820 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095830 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.095840 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095850 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.095860 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095869 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.095879 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095889 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095899 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.095909 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.095919 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095934 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.095944 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.095954 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.095964 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.095974 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.095984 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.095995 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096005 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.096015 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096024 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096034 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096044 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.096054 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096063 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096077 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.096087 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096097 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.096107 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096116 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.096126 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096136 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch8_cbch.used:0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096146 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.096156 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.096166 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096179 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.096189 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096199 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096209 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.096219 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096229 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096239 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096249 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.096259 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096269 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096279 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096288 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.096298 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096308 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096318 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.096328 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096342 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.096352 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096362 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.096372 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096382 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_sdcch8_cbch.total:0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096392 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.096401 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.096411 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096421 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.096431 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096441 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096455 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.096465 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096475 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096486 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096496 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.096506 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096516 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096525 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096535 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.096545 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096555 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096565 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.096575 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096585 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.096594 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096608 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.096618 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096628 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_dynamic_osmocom.used:0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096638 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.096648 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.096658 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096668 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.096677 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096688 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096697 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.096708 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096718 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096728 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096738 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.096749 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096762 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096772 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096782 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.096792 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096802 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096812 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.096822 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096832 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.096842 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096852 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.096862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096872 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.chan_dynamic_osmocom.total:0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096881 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.096891 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.096905 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096915 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.096925 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.096935 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096945 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.096955 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.096965 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.096976 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.096986 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.096996 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097006 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097016 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097026 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.097035 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097045 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097055 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.097076 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097086 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.097096 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097106 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.097116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097126 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.T3122:10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097136 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.097146 27 StatsD_CodecPort.ttcn:36 match_first result: 17 05:48:59.097156 27 StatsD_CodecPort.ttcn:36 match_begin data: :10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097166 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.097176 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097186 27 StatsD_CodecPort.ttcn:36 match_first data: 10|g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097196 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.097207 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097216 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.097227 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097241 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.097252 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097262 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097272 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097282 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.097292 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097301 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097311 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.097321 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097331 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.097341 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097351 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.097361 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097371 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rach_busy:0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097385 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.097395 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.097405 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097415 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.097425 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097435 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097445 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.097455 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097465 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097476 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097486 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.097496 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097506 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097516 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097526 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.097536 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097545 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097560 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.097570 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097580 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.097590 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097599 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.097610 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097620 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rach_access:0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097629 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.097639 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.097649 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097659 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.097669 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097679 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097689 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.097700 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097722 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097733 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097748 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.097759 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097768 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097778 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097788 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.097798 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097808 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097818 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.097828 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097838 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.097848 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097858 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.097868 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097878 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.oml_connected:1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097888 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.097898 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.097907 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097921 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.097931 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.097942 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097952 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.097962 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.097972 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.097983 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.097993 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.098003 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098013 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098022 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098032 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.098042 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098052 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098062 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.098072 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098082 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.098092 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098105 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.098116 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098126 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.rsl_connected:1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098135 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.098145 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.098155 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098165 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.098175 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098185 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098195 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.098205 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098215 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098226 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098236 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.098246 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098256 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098266 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098276 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.098286 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098301 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098312 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.098321 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098331 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.098341 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098351 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.098361 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098371 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.lchan_borken:0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098381 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.098391 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.098401 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098411 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.098421 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098431 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098441 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.098451 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098461 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098472 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098485 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.098496 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098506 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098515 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098525 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.098535 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098545 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098554 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.098564 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098574 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.098584 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098593 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.098603 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098613 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.ts_borken:0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098622 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.098632 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.098642 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098652 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.098661 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098676 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098685 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.098696 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098705 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098716 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098726 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.098736 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098746 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098755 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098765 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.098775 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098784 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098794 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.098804 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098813 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.098823 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098833 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.098843 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098853 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.num_trx.rsl_connected:1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098866 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.098876 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.098886 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098896 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.098905 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.098915 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098925 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.098935 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098945 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.098956 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.098967 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.098979 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.098990 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099000 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099010 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.099020 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099029 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099039 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.099049 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099058 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.099068 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099078 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.099092 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099102 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.num_trx.total:1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099111 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.099121 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.099131 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099140 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.099150 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099160 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099170 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.099180 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099190 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099200 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099210 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.099220 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099230 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099240 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099249 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.099259 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099269 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099278 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.099288 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099298 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.099307 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099322 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.099332 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099342 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.request_queue_length:0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099352 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.099361 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.099371 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099381 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.099390 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099400 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099410 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.099420 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099430 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099440 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099450 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.099460 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099470 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099480 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099489 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.099499 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099509 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099519 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.099528 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099538 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.099548 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099562 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.099572 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099582 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.available_slots:23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099591 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.099601 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.099611 27 StatsD_CodecPort.ttcn:36 match_begin data: :23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099621 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.099631 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099641 27 StatsD_CodecPort.ttcn:36 match_first data: 23|g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099650 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.099661 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099671 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.099681 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099691 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.099701 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099711 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099720 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099730 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.099740 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099750 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099759 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.099769 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099779 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.099789 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099798 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.099808 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099818 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.1.paging.t3113:0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099832 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.099842 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.099852 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099861 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.099871 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099882 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099891 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.099901 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099911 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099922 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099931 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.099942 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.099952 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.099962 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.099974 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.099985 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.099996 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100005 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.100015 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100025 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.100035 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100045 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.100055 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100065 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.uptime.seconds:12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100074 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.100084 27 StatsD_CodecPort.ttcn:36 match_first result: 26 05:48:59.100094 27 StatsD_CodecPort.ttcn:36 match_begin data: :12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100104 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.100114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100128 27 StatsD_CodecPort.ttcn:36 match_first data: 12|g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100138 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.100148 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100158 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.100168 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100178 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.100189 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100198 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100208 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100218 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.100228 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100237 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100247 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.100257 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100267 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.100277 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100286 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.100296 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100306 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chanloadavg:0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100316 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.100326 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.100336 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100345 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.100355 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100365 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100375 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.100385 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100395 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100406 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100415 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.100426 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100435 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100445 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100459 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.100469 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100479 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100489 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.100499 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100508 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.100518 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100528 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.100538 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100548 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_ccch_sdcch4.used:0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100557 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.100567 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.100577 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100587 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.100597 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100607 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100617 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.100627 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100637 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100647 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100657 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.100668 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100677 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100687 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100697 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.100707 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100716 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100726 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.100736 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100746 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.100756 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100765 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.100775 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100785 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_ccch_sdcch4.total:0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100799 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.100809 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.100819 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100829 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.100839 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100849 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100859 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.100869 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100879 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100890 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100899 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.100910 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.100920 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.100929 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100939 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.100949 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.100959 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100969 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.100979 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.100989 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.100998 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101008 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.101018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101028 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch_f.used:0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101038 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.101047 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.101057 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101067 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.101077 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101087 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101097 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.101107 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101117 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.101127 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101137 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.101147 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101157 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101167 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101177 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.101187 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.101196 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101206 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.101216 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101225 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.101235 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101249 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.101259 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101269 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch_f.total:4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101279 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.101289 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.101299 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101308 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.101318 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101328 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101338 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.101348 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101358 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.101369 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101379 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.101389 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101399 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101409 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101418 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.101428 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.101438 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101447 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.101457 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101467 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.101477 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101486 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.101497 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101506 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch_h.used:0|g 05:48:59.101516 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.101526 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.101536 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.101545 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.101555 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101565 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.101575 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.101585 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101595 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.101606 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.101615 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.101626 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.101636 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.101646 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.101655 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.101665 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.101675 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.101684 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.101694 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.101707 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.101718 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.101728 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.101738 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.101747 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.101757 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.101767 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.101777 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.101786 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.101796 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.101806 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.1.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.uptime.seconds", val := 12, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_h.used", val := 0, mtype := "g", srate := omit } } 05:48:59.101895 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.uptime.seconds", val := 12, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_h.used", val := 0, mtype := "g", srate := omit } } } id 47 05:48:59.101919 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.101961 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.1.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.1.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.uptime.seconds", val := 12, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_f.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_tch_h.used", val := 0, mtype := "g", srate := omit } } } id 47 05:48:59.101981 27 StatsD_Checker.ttcn:199 Message with id 47 was extracted from the queue of STATS. 05:48:59.102107 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E6368616E5F7463685F682E746F74616C3A327C670A5454434E332E6274732E302E6368616E5F7364636368382E757365643A307C670A5454434E332E6274732E302E6368616E5F7364636368382E746F74616C3A307C670A5454434E332E6274732E302E6368616E5F64796E616D69635F69706163636573732E757365643A307C670A5454434E332E6274732E302E6368616E5F64796E616D69635F69706163636573732E746F74616C3A307C670A5454434E332E6274732E302E6368616E5F636363685F7364636368345F636263682E757365643A307C670A5454434E332E6274732E302E6368616E5F636363685F7364636368345F636263682E746F74616C3A347C670A5454434E332E6274732E302E6368616E5F7364636368385F636263682E757365643A307C670A5454434E332E6274732E302E6368616E5F7364636368385F636263682E746F74616C3A307C670A5454434E332E6274732E302E6368616E5F64796E616D69635F6F736D6F636F6D2E757365643A307C670A5454434E332E6274732E302E6368616E5F64796E616D69635F6F736D6F636F6D2E746F74616C3A307C670A5454434E332E6274732E302E54333132323A31307C670A5454434E332E6274732E302E726163685F627573793A307C670A5454434E332E6274732E302E726163685F6163636573733A307C670A5454434E332E6274732E302E6F6D6C5F636F6E6E65637465643A317C670A5454434E332E6274732E302E72736C5F636F6E6E65637465643A317C670A5454434E332E6274732E302E6C6368616E5F626F726B656E3A307C670A5454434E332E6274732E302E74735F626F726B656E3A307C670A5454434E332E6274732E302E6E756D5F7472782E72736C5F636F6E6E65637465643A317C670A5454434E332E6274732E302E6E756D5F7472782E746F74616C3A317C670A5454434E332E6274732E302E706167696E672E726571756573745F71756575655F6C656E6774683A307C670A5454434E332E6274732E302E706167696E672E617661696C61626C655F736C6F74733A32337C670A5454434E332E6274732E302E706167696E672E74333131333A307C670A5454434E332E6274732E36353533362E757074696D652E7365636F6E64733A307C670A5454434E332E6274732E36353533362E6368616E6C6F61646176673A307C670A5454434E332E6274732E36353533362E6368616E5F636363685F7364636368342E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F636363685F7364636368342E746F74616C3A307C67'O ("TTCN3.bts.0.chan_tch_h.total:2|g\nTTCN3.bts.0.chan_sdcch8.used:0|g\nTTCN3.bts.0.chan_sdcch8.total:0|g\nTTCN3.bts.0.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.0.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.0.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.0.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.0.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.0.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.0.T3122:10|g\nTTCN3.bts.0.rach_busy:0|g\nTTCN3.bts.0.rach_access:0|g\nTTCN3.bts.0.oml_connected:1|g\nTTCN3.bts.0.rsl_connected:1|g\nTTCN3.bts.0.lchan_borken:0|g\nTTCN3.bts.0.ts_borken:0|g\nTTCN3.bts.0.num_trx.rsl_connected:1|g\nTTCN3.bts.0.num_trx.total:1|g\nTTCN3.bts.0.paging.request_queue_length:0|g\nTTCN3.bts.0.paging.available_slots:23|g\nTTCN3.bts.0.paging.t3113:0|g\nTTCN3.bts.65536.uptime.seconds:0|g\nTTCN3.bts.65536.chanloadavg:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4.total:0|g") } id 48 05:48:59.102131 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.chan_tch_h.total:2|g\nTTCN3.bts.0.chan_sdcch8.used:0|g\nTTCN3.bts.0.chan_sdcch8.total:0|g\nTTCN3.bts.0.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.0.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g\nTTCN3.bts.0.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.0.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.0.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.0.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.0.T3122:10|g\nTTCN3.bts.0.rach_busy:0|g\nTTCN3.bts.0.rach_access:0|g\nTTCN3.bts.0.oml_connected:1|g\nTTCN3.bts.0.rsl_connected:1|g\nTTCN3.bts.0.lchan_borken:0|g\nTTCN3.bts.0.ts_borken:0|g\nTTCN3.bts.0.num_trx.rsl_connected:1|g\nTTCN3.bts.0.num_trx.total:1|g\nTTCN3.bts.0.paging.request_queue_length:0|g\nTTCN3.bts.0.paging.available_slots:23|g\nTTCN3.bts.0.paging.t3113:0|g\nTTCN3.bts.65536.uptime.seconds:0|g\nTTCN3.bts.65536.chanloadavg:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4.used:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4.total:0|g" 05:48:59.102165 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_tch_h.total:2|g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102181 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.102191 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.102201 27 StatsD_CodecPort.ttcn:36 match_begin data: :2|g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102211 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.102221 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102231 27 StatsD_CodecPort.ttcn:36 match_first data: 2|g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102241 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.102252 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102262 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102273 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102286 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.102297 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102307 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102317 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102326 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.102336 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102346 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102356 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.102366 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102376 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.102385 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102399 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.102410 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102420 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch8.used:0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102429 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.102439 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.102449 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102459 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.102469 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102479 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102489 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.102499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102509 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102519 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102533 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.102544 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102553 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102563 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102573 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.102583 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102592 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102602 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.102612 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102626 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.102636 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102646 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.102656 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102666 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch8.total:0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102675 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.102685 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.102695 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102705 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.102714 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102724 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102738 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.102748 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102758 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102769 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102779 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.102789 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.102799 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102808 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102818 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.102828 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.102838 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102847 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.102857 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102871 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.102881 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102891 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.102901 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102911 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_dynamic_ipaccess.used:0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102920 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.102930 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.102940 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102950 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.102959 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.102970 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.102983 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.102994 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103003 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103014 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103024 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.103034 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103044 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103053 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103063 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.103073 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103083 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103092 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.103102 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103116 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.103126 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103136 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.103146 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103156 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_dynamic_ipaccess.total:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103165 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.103175 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.103185 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103195 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.103204 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103215 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103228 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.103239 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103248 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103259 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103269 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.103279 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103289 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103299 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103308 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.103318 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103328 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103338 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.103347 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103357 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.103371 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103381 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.103391 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103401 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103410 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.103420 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.103430 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103440 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.103449 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103460 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103469 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.103480 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103489 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103500 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103513 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.103524 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103534 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103543 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103553 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.103563 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103573 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103582 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.103592 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103602 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.103612 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103625 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.103635 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103645 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_ccch_sdcch4_cbch.total:4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103655 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.103665 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.103675 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103684 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.103694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103704 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103714 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.103724 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103734 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103745 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103754 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.103765 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103774 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103784 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103798 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.103808 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103818 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103827 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.103837 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103847 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.103857 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103867 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.103877 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103886 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch8_cbch.used:0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103896 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.103906 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.103919 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103929 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.103939 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.103949 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103959 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.103969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.103979 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.103989 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.103999 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.104009 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104019 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104029 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104039 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.104049 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104058 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104074 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.104084 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104094 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.104104 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104113 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.104123 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104133 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_sdcch8_cbch.total:0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104143 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.104153 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.104162 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104172 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.104182 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104192 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104206 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.104216 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104226 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104236 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104246 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.104256 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104266 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104276 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104285 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.104295 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104305 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104315 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.104325 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104335 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.104345 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104354 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.104368 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104378 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_dynamic_osmocom.used:0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104388 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.104398 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.104408 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104418 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.104428 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104438 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104448 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.104458 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104468 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104478 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104488 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.104499 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104508 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104518 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104528 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.104538 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104548 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104562 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.104572 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104582 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.104591 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104601 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.104611 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104621 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.chan_dynamic_osmocom.total:0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104631 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.104641 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.104651 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104661 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.104670 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104681 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104694 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.104705 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104715 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104725 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104735 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.104746 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104755 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104765 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104775 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.104785 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.104795 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104804 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.104814 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104824 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.104834 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104844 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.104854 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104864 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.T3122:10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104878 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.104888 27 StatsD_CodecPort.ttcn:36 match_first result: 17 05:48:59.104898 27 StatsD_CodecPort.ttcn:36 match_begin data: :10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104907 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.104917 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.104927 27 StatsD_CodecPort.ttcn:36 match_first data: 10|g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104937 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.104948 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104958 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.104969 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.104979 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.104989 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.104999 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105008 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105018 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.105028 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105038 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105048 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.105058 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105072 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.105082 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105092 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.105102 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105112 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach_busy:0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105122 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.105132 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.105141 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105151 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.105161 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105171 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105181 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.105192 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105201 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105212 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105222 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.105232 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105242 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105252 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105266 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.105276 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105286 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105296 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.105306 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105316 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.105325 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105335 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.105345 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105355 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach_access:0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105365 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.105375 27 StatsD_CodecPort.ttcn:36 match_first result: 23 05:48:59.105385 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105395 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.105405 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105415 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105429 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.105440 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105449 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105460 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105470 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.105480 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105490 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105500 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105510 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.105520 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105529 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105539 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.105549 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105558 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.105568 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105578 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.105588 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105597 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.oml_connected:1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105607 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.105621 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.105631 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105640 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.105650 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105660 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105670 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.105680 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105690 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105700 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105715 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.105725 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105735 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105745 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105754 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.105764 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105774 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105784 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.105793 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105803 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.105813 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105827 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.105837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105846 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rsl_connected:1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105856 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.105866 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.105875 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105885 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.105895 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105905 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105914 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.105925 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105934 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.105945 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105955 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.105965 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.105975 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.105984 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.105994 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.106004 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106013 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106023 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.106033 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106047 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.106057 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106066 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.106076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106086 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.lchan_borken:0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.106105 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.106115 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106124 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.106134 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106144 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106154 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.106164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106184 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106194 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.106204 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106214 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106224 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106233 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.106243 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106253 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106267 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.106277 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106286 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.106296 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106306 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.106316 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106325 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ts_borken:0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106335 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.106345 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.106355 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106364 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.106374 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106384 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106394 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.106404 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106414 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106424 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106434 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.106444 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106464 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106473 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.106483 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106493 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106506 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.106516 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106526 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.106536 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106545 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.106555 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106565 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.num_trx.rsl_connected:1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106575 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.106585 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.106594 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106604 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.106614 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106624 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106633 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.106644 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106653 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106664 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106673 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.106684 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106703 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106713 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.106723 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106732 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106746 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.106756 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106765 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.106775 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106785 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.106795 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106804 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.num_trx.total:1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106814 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.106824 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.106833 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106843 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.106853 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106863 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106872 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.106883 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106892 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106903 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106912 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.106923 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.106932 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.106942 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106952 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.106962 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.106971 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.106981 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.106991 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107006 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.107016 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107025 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.107035 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107045 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.request_queue_length:0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107055 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.107065 27 StatsD_CodecPort.ttcn:36 match_first result: 39 05:48:59.107074 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107084 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.107094 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107104 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107113 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.107124 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107133 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107144 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107154 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.107164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107173 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107183 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107193 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.107203 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107212 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107222 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.107232 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107242 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.107251 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107261 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.107275 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107285 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.available_slots:23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107295 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.107305 27 StatsD_CodecPort.ttcn:36 match_first result: 34 05:48:59.107315 27 StatsD_CodecPort.ttcn:36 match_begin data: :23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107324 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.107334 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107345 27 StatsD_CodecPort.ttcn:36 match_first data: 23|g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107354 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.107365 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107374 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.107385 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107395 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.107405 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107415 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107424 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107434 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.107444 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107453 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107463 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.107473 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107483 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.107492 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107502 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.107512 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107522 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.paging.t3113:0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107531 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.107541 27 StatsD_CodecPort.ttcn:36 match_first result: 24 05:48:59.107551 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107560 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.107570 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107580 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107590 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.107604 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107614 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107625 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107634 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.107645 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107654 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107664 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107674 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.107684 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107693 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107703 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.107713 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107722 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.107732 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107742 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.107752 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107762 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.uptime.seconds:0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107772 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.107782 27 StatsD_CodecPort.ttcn:36 match_first result: 30 05:48:59.107792 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107801 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.107811 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107822 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107831 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.107842 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107852 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107862 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107872 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.107882 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.107892 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.107902 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107911 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.107921 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.107931 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107940 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.107950 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107960 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.107970 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.107984 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.107994 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108004 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chanloadavg:0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108013 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.108023 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.108033 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108043 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.108053 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108063 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108073 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.108083 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108093 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.108104 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108113 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.108124 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108134 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108143 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108153 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.108163 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.108173 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108183 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.108192 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108202 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.108212 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108222 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.108232 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108241 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_ccch_sdcch4.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108251 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.108261 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.108271 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108280 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.108290 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108301 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108310 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.108321 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108330 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.108341 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108351 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.108361 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108371 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108381 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108390 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.108400 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.108410 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108420 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.108429 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108443 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.108453 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108463 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.108473 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108483 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_ccch_sdcch4.total:0|g 05:48:59.108493 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.108503 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.108512 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.108522 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.108532 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108542 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.108552 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.108562 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108572 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.108582 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.108592 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.108602 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.108612 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.108622 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.108632 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.108642 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.108651 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.108661 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.108671 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.108681 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.108690 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.108700 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.108710 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.108720 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.108729 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.108740 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.108749 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.108759 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.108769 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.108779 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit } } 05:48:59.108865 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit } } } id 48 05:48:59.108885 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.108926 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.0.chan_tch_h.total", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_ccch_sdcch4_cbch.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.oml_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.rsl_connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.num_trx.total", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.available_slots", val := 23, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.uptime.seconds", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chanloadavg", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4.total", val := 0, mtype := "g", srate := omit } } } id 48 05:48:59.108947 27 StatsD_Checker.ttcn:199 Message with id 48 was extracted from the queue of STATS. 05:48:59.109080 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E6368616E5F7463685F662E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F7463685F662E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F7463685F682E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F7463685F682E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F7364636368382E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F7364636368382E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F64796E616D69635F69706163636573732E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F64796E616D69635F69706163636573732E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F636363685F7364636368345F636263682E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F636363685F7364636368345F636263682E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F7364636368385F636263682E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F7364636368385F636263682E746F74616C3A307C670A5454434E332E6274732E36353533362E6368616E5F64796E616D69635F6F736D6F636F6D2E757365643A307C670A5454434E332E6274732E36353533362E6368616E5F64796E616D69635F6F736D6F636F6D2E746F74616C3A307C670A5454434E332E6274732E36353533362E54333132323A31307C670A5454434E332E6274732E36353533362E726163685F627573793A307C670A5454434E332E6274732E36353533362E726163685F6163636573733A307C670A5454434E332E6274732E36353533362E6F6D6C5F636F6E6E65637465643A307C670A5454434E332E6274732E36353533362E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E36353533362E6C6368616E5F626F726B656E3A307C670A5454434E332E6274732E36353533362E74735F626F726B656E3A307C670A5454434E332E6274732E36353533362E6E756D5F7472782E72736C5F636F6E6E65637465643A307C670A5454434E332E6274732E36353533362E6E756D5F7472782E746F74616C3A307C670A5454434E332E6274732E36353533362E706167696E672E726571756573745F71756575655F6C656E6774683A307C670A5454434E332E6274732E36353533362E706167696E672E617661696C61626C655F736C6F74733A307C67'O ("TTCN3.bts.65536.chan_tch_f.used:0|g\nTTCN3.bts.65536.chan_tch_f.total:0|g\nTTCN3.bts.65536.chan_tch_h.used:0|g\nTTCN3.bts.65536.chan_tch_h.total:0|g\nTTCN3.bts.65536.chan_sdcch8.used:0|g\nTTCN3.bts.65536.chan_sdcch8.total:0|g\nTTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g\nTTCN3.bts.65536.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.65536.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.65536.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.65536.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.65536.T3122:10|g\nTTCN3.bts.65536.rach_busy:0|g\nTTCN3.bts.65536.rach_access:0|g\nTTCN3.bts.65536.oml_connected:0|g\nTTCN3.bts.65536.rsl_connected:0|g\nTTCN3.bts.65536.lchan_borken:0|g\nTTCN3.bts.65536.ts_borken:0|g\nTTCN3.bts.65536.num_trx.rsl_connected:0|g\nTTCN3.bts.65536.num_trx.total:0|g\nTTCN3.bts.65536.paging.request_queue_length:0|g\nTTCN3.bts.65536.paging.available_slots:0|g") } id 49 05:48:59.109106 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.chan_tch_f.used:0|g\nTTCN3.bts.65536.chan_tch_f.total:0|g\nTTCN3.bts.65536.chan_tch_h.used:0|g\nTTCN3.bts.65536.chan_tch_h.total:0|g\nTTCN3.bts.65536.chan_sdcch8.used:0|g\nTTCN3.bts.65536.chan_sdcch8.total:0|g\nTTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g\nTTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g\nTTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g\nTTCN3.bts.65536.chan_sdcch8_cbch.used:0|g\nTTCN3.bts.65536.chan_sdcch8_cbch.total:0|g\nTTCN3.bts.65536.chan_dynamic_osmocom.used:0|g\nTTCN3.bts.65536.chan_dynamic_osmocom.total:0|g\nTTCN3.bts.65536.T3122:10|g\nTTCN3.bts.65536.rach_busy:0|g\nTTCN3.bts.65536.rach_access:0|g\nTTCN3.bts.65536.oml_connected:0|g\nTTCN3.bts.65536.rsl_connected:0|g\nTTCN3.bts.65536.lchan_borken:0|g\nTTCN3.bts.65536.ts_borken:0|g\nTTCN3.bts.65536.num_trx.rsl_connected:0|g\nTTCN3.bts.65536.num_trx.total:0|g\nTTCN3.bts.65536.paging.request_queue_length:0|g\nTTCN3.bts.65536.paging.available_slots:0|g" 05:48:59.109141 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch_f.used:0|g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109151 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.109161 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.109171 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109184 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.109195 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109205 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109215 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.109226 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109235 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109246 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109256 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.109267 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109277 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109286 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109296 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.109306 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109316 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109330 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.109340 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109350 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.109359 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109369 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.109379 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109389 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch_f.total:0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109399 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.109409 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.109418 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109432 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.109442 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109452 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109462 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.109472 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109482 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109492 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109502 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.109513 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109522 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109532 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109552 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.109562 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109572 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109582 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.109591 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109601 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.109611 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109621 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.109631 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109641 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch_h.used:0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109654 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.109664 27 StatsD_CodecPort.ttcn:36 match_first result: 31 05:48:59.109674 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109684 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.109693 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109714 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109726 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.109736 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109746 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109757 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109767 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.109777 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109787 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109796 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109810 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.109820 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.109830 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109840 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.109849 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109860 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.109869 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109879 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.109889 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109899 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_tch_h.total:0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109913 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.109923 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.109933 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109942 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.109952 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.109963 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.109972 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.109983 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.109993 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110003 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110013 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.110023 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110033 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110043 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110059 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.110069 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110079 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110089 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.110099 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110108 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.110118 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110128 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.110138 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110148 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch8.used:0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110161 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.110171 27 StatsD_CodecPort.ttcn:36 match_first result: 32 05:48:59.110181 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110191 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.110201 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110211 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110221 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.110231 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110241 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110252 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110261 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.110272 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110281 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110291 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110305 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.110315 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110325 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110335 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.110345 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110354 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.110364 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110374 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.110384 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110394 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch8.total:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110408 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.110418 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.110428 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110437 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.110447 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110457 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110467 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.110478 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110487 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110498 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110508 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.110518 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110528 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110538 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110552 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.110562 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110571 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110581 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.110591 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110601 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.110611 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110621 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.110631 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110641 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_dynamic_ipaccess.used:0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110651 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.110661 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.110670 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110684 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.110694 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110704 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110714 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.110725 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110735 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110745 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110755 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.110766 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110775 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110785 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110795 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.110805 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110815 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110828 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.110839 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110849 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.110858 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110868 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.110878 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110888 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_dynamic_ipaccess.total:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110898 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.110908 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.110918 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110928 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.110938 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.110948 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.110962 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.110972 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.110982 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.110993 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111003 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.111013 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111023 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111033 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111043 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.111053 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111063 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111073 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.111083 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111093 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.111107 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111117 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.111127 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111137 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used:0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111147 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.111157 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.111167 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111177 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.111187 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111197 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111207 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.111218 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111227 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111238 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111248 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.111263 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111273 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111283 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111293 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.111303 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111313 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111323 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.111333 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111343 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.111353 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111363 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.111373 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111383 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total:0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111393 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.111403 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.111412 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111426 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.111436 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111447 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111457 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.111467 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111477 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111488 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111498 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.111508 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111518 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111528 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111538 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.111548 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111557 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111567 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.111577 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111591 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.111601 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111611 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.111621 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111631 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch8_cbch.used:0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111641 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.111651 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.111661 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111671 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.111681 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111691 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111701 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.111712 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111722 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111732 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111742 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.111757 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111767 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111776 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111786 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.111796 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111806 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111816 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.111826 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111836 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.111846 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111856 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.111866 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111876 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_sdcch8_cbch.total:0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111886 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.111896 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.111906 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111919 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.111929 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.111940 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111950 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.111960 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.111970 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.111980 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.111990 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.112001 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112011 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112021 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112030 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.112040 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112050 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112060 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.112070 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112080 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.112090 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112100 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.112114 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112124 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_dynamic_osmocom.used:0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112134 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.112144 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.112153 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112163 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.112173 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112184 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112194 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.112204 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112214 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112224 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112234 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.112244 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112254 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112264 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112273 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.112283 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112293 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112303 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.112313 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112328 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.112338 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112348 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.112358 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112368 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.chan_dynamic_osmocom.total:0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112377 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.112387 27 StatsD_CodecPort.ttcn:36 match_first result: 42 05:48:59.112397 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112406 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.112416 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112426 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112436 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.112446 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112456 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112467 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112476 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.112487 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112496 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112506 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112516 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.112529 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112539 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112549 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.112559 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112568 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.112578 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112588 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.112598 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112607 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.T3122:10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112617 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.112627 27 StatsD_CodecPort.ttcn:36 match_first result: 21 05:48:59.112636 27 StatsD_CodecPort.ttcn:36 match_begin data: :10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112646 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.112656 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112666 27 StatsD_CodecPort.ttcn:36 match_first data: 10|g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112675 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.112686 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112695 27 StatsD_CodecPort.ttcn:36 match_first result: 2 05:48:59.112706 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112716 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.112726 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112736 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112745 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112759 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.112769 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112778 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112788 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.112798 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112807 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.112817 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112827 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.112837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112846 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rach_busy:0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112856 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.112866 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.112875 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112885 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.112895 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112905 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112914 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.112925 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112934 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.112945 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112954 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.112969 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.112979 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.112988 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.112998 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.113008 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113018 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113027 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.113037 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113047 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.113056 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113066 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.113076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113086 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rach_access:0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113095 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.113105 27 StatsD_CodecPort.ttcn:36 match_first result: 27 05:48:59.113115 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113124 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.113134 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113144 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113154 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.113164 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113174 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113184 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113194 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.113208 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113218 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113228 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113237 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.113247 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113257 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113266 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.113276 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113286 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.113296 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113305 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.113315 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113325 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.oml_connected:0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113335 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.113345 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.113355 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113364 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.113374 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113384 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113394 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.113404 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113414 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113425 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113434 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.113445 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113454 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113464 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113478 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.113488 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113498 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113508 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.113518 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113528 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.113537 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113547 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.113557 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113567 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.rsl_connected:0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113577 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.113587 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.113596 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113606 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.113616 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113626 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113636 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.113646 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113656 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113667 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113676 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.113687 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113696 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113710 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113720 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.113730 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113740 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113754 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.113764 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113774 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.113784 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113793 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.113803 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113813 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.lchan_borken:0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113823 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.113833 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.113843 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113852 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.113862 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113872 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113882 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.113892 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113902 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113913 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113922 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.113933 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.113943 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.113952 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113962 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.113972 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.113982 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.113991 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.114001 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114011 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.114021 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114031 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.114041 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114051 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.ts_borken:0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114064 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.114074 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.114084 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114094 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.114104 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114114 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114124 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.114134 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114144 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114155 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114164 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.114175 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114185 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114194 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114204 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.114214 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114224 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114234 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.114244 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114254 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.114263 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114273 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.114283 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114293 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.num_trx.rsl_connected:0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114303 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.114313 27 StatsD_CodecPort.ttcn:36 match_first result: 37 05:48:59.114322 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114332 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.114342 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114352 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114362 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.114372 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114382 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114393 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114407 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.114417 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114427 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114437 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114447 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.114457 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114466 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114476 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.114486 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114496 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.114506 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114516 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.114526 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114536 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.num_trx.total:0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114546 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.114555 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.114565 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114575 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.114585 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114595 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114605 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.114615 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114625 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114636 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114645 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.114655 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114665 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114675 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114685 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.114694 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114704 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114714 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.114724 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114734 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.114743 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114753 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.114763 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114773 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.request_queue_length:0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114783 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.114793 27 StatsD_CodecPort.ttcn:36 match_first result: 43 05:48:59.114802 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114812 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.114826 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114837 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114846 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.114857 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114866 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114877 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114887 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.114897 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.114907 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.114917 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114927 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.114937 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.114946 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114956 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.114966 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114975 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.114985 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.114997 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.115008 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115020 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.available_slots:0|g 05:48:59.115029 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.115039 27 StatsD_CodecPort.ttcn:36 match_first result: 38 05:48:59.115049 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 05:48:59.115059 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.115068 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115078 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g 05:48:59.115088 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.115098 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115108 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.115118 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.115128 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.115138 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115147 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115157 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.115167 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.115177 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.115186 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.115196 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.115205 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.115215 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.115225 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.115235 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.115244 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.115254 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.115263 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.115273 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.115283 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.115293 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.115303 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.115313 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.available_slots", val := 0, mtype := "g", srate := omit } } 05:48:59.115398 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.available_slots", val := 0, mtype := "g", srate := omit } } } id 49 05:48:59.115421 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.115462 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.chan_tch_f.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_f.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_tch_h.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_ipaccess.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_ccch_sdcch4_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_sdcch8_cbch.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.used", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.chan_dynamic_osmocom.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.T3122", val := 10, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_busy", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rach_access", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.oml_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.lchan_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.ts_borken", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.rsl_connected", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.num_trx.total", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.request_queue_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.65536.paging.available_slots", val := 0, mtype := "g", srate := omit } } } id 49 05:48:59.115479 27 StatsD_Checker.ttcn:199 Message with id 49 was extracted from the queue of STATS. 05:48:59.115555 27 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E36353533362E706167696E672E74333131333A307C670A5454434E332E6273632E302E6E756D5F6274732E6F6D6C5F636F6E6E65637465643A337C670A5454434E332E6273632E302E6E756D5F6274732E616C6C5F7472785F72736C5F636F6E6E65637465643A327C670A5454434E332E6273632E302E6E756D5F6274732E746F74616C3A347C670A5454434E332E6273632E302E6E756D5F7472782E72736C5F636F6E6E65637465643A327C670A5454434E332E6273632E302E6E756D5F7472782E746F74616C3A377C670A5454434E332E6273632E302E6E756D5F6D73632E636F6E6E65637465643A317C670A5454434E332E6273632E302E6E756D5F6D73632E746F74616C3A317C67'O ("TTCN3.bts.65536.paging.t3113:0|g\nTTCN3.bsc.0.num_bts.oml_connected:3|g\nTTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g\nTTCN3.bsc.0.num_bts.total:4|g\nTTCN3.bsc.0.num_trx.rsl_connected:2|g\nTTCN3.bsc.0.num_trx.total:7|g\nTTCN3.bsc.0.num_msc.connected:1|g\nTTCN3.bsc.0.num_msc.total:1|g") } id 50 05:48:59.115570 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.65536.paging.t3113:0|g\nTTCN3.bsc.0.num_bts.oml_connected:3|g\nTTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g\nTTCN3.bsc.0.num_bts.total:4|g\nTTCN3.bsc.0.num_trx.rsl_connected:2|g\nTTCN3.bsc.0.num_trx.total:7|g\nTTCN3.bsc.0.num_msc.connected:1|g\nTTCN3.bsc.0.num_msc.total:1|g" 05:48:59.115593 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.65536.paging.t3113:0|g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115603 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.115613 27 StatsD_CodecPort.ttcn:36 match_first result: 28 05:48:59.115623 27 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115633 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.115643 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115653 27 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115663 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.115674 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115683 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.115696 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115706 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.115716 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115726 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115736 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115746 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.115756 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.115765 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115775 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.115785 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115794 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.115804 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115814 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.115824 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115834 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_bts.oml_connected:3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115843 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.115857 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.115867 27 StatsD_CodecPort.ttcn:36 match_begin data: :3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115877 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.115887 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115897 27 StatsD_CodecPort.ttcn:36 match_first data: 3|g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115906 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.115917 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115926 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.115937 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115947 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.115957 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.115967 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.115976 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.115986 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.115996 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116006 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116015 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.116025 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116035 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.116045 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116054 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.116064 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116074 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_bts.all_trx_rsl_connected:2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116084 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.116094 27 StatsD_CodecPort.ttcn:36 match_first result: 41 05:48:59.116103 27 StatsD_CodecPort.ttcn:36 match_begin data: :2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116113 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.116123 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116133 27 StatsD_CodecPort.ttcn:36 match_first data: 2|g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116142 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.116153 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116162 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116173 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116186 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.116197 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116206 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116216 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116226 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.116236 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116245 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116255 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.116265 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116274 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.116284 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116294 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.116303 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116313 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_bts.total:4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116323 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.116333 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.116342 27 StatsD_CodecPort.ttcn:36 match_begin data: :4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116352 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.116362 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116372 27 StatsD_CodecPort.ttcn:36 match_first data: 4|g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116381 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.116391 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116401 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116412 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116421 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.116432 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116441 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116451 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116460 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.116470 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116480 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116490 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.116499 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116509 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.116519 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116534 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.116544 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116554 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_trx.rsl_connected:2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116564 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.116574 27 StatsD_CodecPort.ttcn:36 match_first result: 33 05:48:59.116583 27 StatsD_CodecPort.ttcn:36 match_begin data: :2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116593 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.116603 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116613 27 StatsD_CodecPort.ttcn:36 match_first data: 2|g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116622 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.116633 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116642 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116653 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116662 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.116672 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116682 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116692 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116701 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.116711 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116721 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116731 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.116740 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116750 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.116760 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116769 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.116779 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116789 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_trx.total:7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116798 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.116808 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.116818 27 StatsD_CodecPort.ttcn:36 match_begin data: :7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116827 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.116837 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116847 27 StatsD_CodecPort.ttcn:36 match_first data: 7|g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116857 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.116867 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116877 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116887 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116897 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.116907 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.116916 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.116926 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116936 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.116945 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.116955 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116969 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.116979 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.116989 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.116998 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117008 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.117018 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117028 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_msc.connected:1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117037 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.117047 27 StatsD_CodecPort.ttcn:36 match_first result: 29 05:48:59.117057 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117066 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.117076 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117086 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117096 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.117106 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.117116 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.117126 27 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117136 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.117146 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.117156 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117165 27 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117175 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.117185 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.117194 27 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117204 27 StatsD_CodecPort.ttcn:36 match_list result: 1 05:48:59.117213 27 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117223 27 StatsD_CodecPort.ttcn:36 match_list result: 0 05:48:59.117233 27 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117242 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.117252 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117262 27 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bsc.0.num_msc.total:1|g 05:48:59.117271 27 StatsD_CodecPort.ttcn:36 match_first token: ":" 05:48:59.117281 27 StatsD_CodecPort.ttcn:36 match_first result: 25 05:48:59.117291 27 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 05:48:59.117300 27 StatsD_CodecPort.ttcn:36 match_begin token: ":" 05:48:59.117310 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117320 27 StatsD_CodecPort.ttcn:36 match_first data: 1|g 05:48:59.117330 27 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 05:48:59.117340 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.117349 27 StatsD_CodecPort.ttcn:36 match_first result: 1 05:48:59.117360 27 StatsD_CodecPort.ttcn:36 match_begin data: |g 05:48:59.117369 27 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 05:48:59.117380 27 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 05:48:59.117389 27 StatsD_CodecPort.ttcn:36 match_begin result: 1 05:48:59.117399 27 StatsD_CodecPort.ttcn:36 match_first data: g 05:48:59.117408 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.117418 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.117428 27 StatsD_CodecPort.ttcn:36 match_list data: g 05:48:59.117437 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.117447 27 StatsD_CodecPort.ttcn:36 match_first data: 05:48:59.117456 27 StatsD_CodecPort.ttcn:36 match_first token: "\n" 05:48:59.117466 27 StatsD_CodecPort.ttcn:36 match_first result: -1 05:48:59.117476 27 StatsD_CodecPort.ttcn:36 match_list data: 05:48:59.117485 27 StatsD_CodecPort.ttcn:36 match_list result: -1 05:48:59.117495 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.117504 27 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 05:48:59.117514 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.117528 27 StatsD_CodecPort.ttcn:36 match_begin data: 05:48:59.117538 27 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 05:48:59.117547 27 StatsD_CodecPort.ttcn:36 match_begin result: -1 05:48:59.117557 27 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.65536.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.oml_connected", val := 3, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.total", val := 7, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.total", val := 1, mtype := "g", srate := omit } } 05:48:59.117590 27 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.oml_connected", val := 3, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.total", val := 7, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.total", val := 1, mtype := "g", srate := omit } } } id 50 05:48:59.117606 27 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 05:48:59.117627 27 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 53563, locName := "172.18.115.203", locPort := 8125, msg := { { name := "TTCN3.bts.65536.paging.t3113", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.oml_connected", val := 3, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_bts.total", val := 4, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.rsl_connected", val := 2, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_trx.total", val := 7, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.connected", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bsc.0.num_msc.total", val := 1, mtype := "g", srate := omit } } } id 50 05:48:59.117640 27 StatsD_Checker.ttcn:199 Message with id 50 was extracted from the queue of STATS. 05:48:59.117754 27 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bsc.0.num_bts.oml_connected", val := 3, mtype := "g", srate := omit } vs { name := "TTCN3.bsc.0.num_bts.oml_connected", mtype := "g", min := 2, max := 4 } 05:48:59.117805 27 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", val := 2, mtype := "g", srate := omit } vs { name := "TTCN3.bsc.0.num_bts.all_trx_rsl_connected", mtype := "g", min := 2, max := 2 } 05:48:59.117828 27 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bsc.0.num_bts.total", val := 4, mtype := "g", srate := omit } vs { name := "TTCN3.bsc.0.num_bts.total", mtype := "g", min := 4, max := 4 } 05:48:59.117850 27 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bsc.0.num_trx.rsl_connected", val := 2, mtype := "g", srate := omit } vs { name := "TTCN3.bsc.0.num_trx.rsl_connected", mtype := "g", min := 2, max := 2 } 05:48:59.117877 27 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bsc.0.num_trx.total", val := 7, mtype := "g", srate := omit } vs { name := "TTCN3.bsc.0.num_trx.total", mtype := "g", min := 7, max := 7 } 05:48:59.117916 27 StatsD_Checker.ttcn:244 Stop timer T_statsd: 5 s 05:48:59.118010 27 StatsD_Checker.ttcn:126 Replied on STATSD_PROC to TC_stat_num_bts_connected_2(38) @StatsD_Checker.STATSD_expect : { } value true 05:48:59.118455 38 StatsD_Checker.ttcn:274 Reply enqueued on STATSD_PROC from VirtMSC-STATS(27) @StatsD_Checker.STATSD_expect : { } value true id 1 05:48:59.118581 38 StatsD_Checker.ttcn:275 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 05:48:59.118684 38 StatsD_Checker.ttcn:275 Getreply operation on port STATSD_PROC succeeded, reply from VirtMSC-STATS(27): @StatsD_Checker.STATSD_expect : { } value true id 1 05:48:59.118723 38 StatsD_Checker.ttcn:275 Operation with id 1 was extracted from the queue of STATSD_PROC. 05:48:59.118768 38 - Function f_handler_init finished. PTC terminates. 05:48:59.118791 38 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 05:48:59.118811 38 - Port BSSAP_LE was stopped. 05:48:59.118837 38 - Port BSSAP_LE_PROC was stopped. 05:48:59.118856 38 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(27):STATSD_PROC. 05:48:59.118896 38 - Port STATSD_PROC was stopped. 05:48:59.118907 27 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to TC_stat_num_bts_connected_2(38):STATSD_PROC was closed unexpectedly by the peer. 05:48:59.118916 38 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(33):MGCP_CLIENT. 05:48:59.118922 27 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from TC_stat_num_bts_connected_2(38):STATSD_PROC. 05:48:59.118951 38 - Port MGCP was stopped. 05:48:59.118971 38 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(33):MGCP_CLIENT_MULTI. 05:48:59.119000 33 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_stat_num_bts_connected_2(38):MGCP was closed unexpectedly by the peer. 05:48:59.119003 38 - Port MGCP_MULTI was stopped. 05:48:59.119022 38 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(33):MGCP_PROC. 05:48:59.119049 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_stat_num_bts_connected_2(38):MGCP. 05:48:59.119059 38 - Port MGCP_PROC was stopped. 05:48:59.119078 38 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(29):CLIENT. 05:48:59.119115 38 - Port BSSAP was stopped. 05:48:59.119123 33 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_stat_num_bts_connected_2(38):MGCP_MULTI was closed unexpectedly by the peer. 05:48:59.119138 29 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_stat_num_bts_connected_2(38):BSSAP was closed unexpectedly by the peer. 05:48:59.119140 38 - Port BSSAP_PROC was stopped. 05:48:59.119156 33 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_stat_num_bts_connected_2(38):MGCP_MULTI. 05:48:59.119158 38 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(35):CLIENT_PT. 05:48:59.119188 29 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_stat_num_bts_connected_2(38):BSSAP. 05:48:59.119189 38 - Port RSL was stopped. 05:48:59.119208 38 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(35):RSL_PROC. 05:48:59.119221 33 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_stat_num_bts_connected_2(38):MGCP_PROC was closed unexpectedly by the peer. 05:48:59.119228 35 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_stat_num_bts_connected_2(38):RSL was closed unexpectedly by the peer. 05:48:59.119241 38 - Port RSL_PROC was stopped. 05:48:59.119255 33 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_stat_num_bts_connected_2(38):MGCP_PROC. 05:48:59.119261 38 - Removing unterminated connection between port RSL1 and IPA-BTS1-TRX0-RSL-RSL(37):CLIENT_PT. 05:48:59.119275 35 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_stat_num_bts_connected_2(38):RSL. 05:48:59.119293 38 - Port RSL1 was stopped. 05:48:59.119317 38 - Removing unterminated connection between port RSL1_PROC and IPA-BTS1-TRX0-RSL-RSL(37):RSL_PROC. 05:48:59.119321 37 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_stat_num_bts_connected_2(38):RSL1 was closed unexpectedly by the peer. 05:48:59.119359 38 - Port RSL1_PROC was stopped. 05:48:59.119370 37 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_stat_num_bts_connected_2(38):RSL1. 05:48:59.119387 38 - Port RSL2 was stopped. 05:48:59.119405 35 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_stat_num_bts_connected_2(38):RSL_PROC was closed unexpectedly by the peer. 05:48:59.119413 38 - Port RSL2_PROC was stopped. 05:48:59.119433 35 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_stat_num_bts_connected_2(38):RSL_PROC. 05:48:59.119436 38 - Removing unterminated connection between port RAN and VirtMSC-RAN(29):PROC. 05:48:59.119482 38 - Port RAN was stopped. 05:48:59.119516 29 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_stat_num_bts_connected_2(38):RAN was closed unexpectedly by the peer. 05:48:59.119521 38 - Port BSCVTY was stopped. 05:48:59.119530 37 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_stat_num_bts_connected_2(38):RSL1_PROC was closed unexpectedly by the peer. 05:48:59.119548 38 - Port COORD was stopped. 05:48:59.119562 29 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_stat_num_bts_connected_2(38):RAN. 05:48:59.119570 37 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_stat_num_bts_connected_2(38):RSL1_PROC. 05:48:59.119572 38 - Port COORD2 was stopped. 05:48:59.119607 38 - Port MGCP_MSC_CLIENT was stopped. 05:48:59.119634 38 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.119673 38 - Final verdict of PTC: none 05:48:59.119728 mtc BSC_Tests.ttcn:3257 PTC with component reference 38 is done. 05:48:59.119789 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.367864. 05:48:59.119794 38 - Disconnected from MC. 05:48:59.119818 38 - TTCN-3 Parallel Test Component finished. 05:48:59.119839 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := omit } } 05:48:59.119885 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.119913 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := omit } } id 7 05:48:59.119961 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.119974 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := omit } } id 7 05:48:59.119986 32 IPA_Emulation.ttcnpp:879 Message with id 7 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.119997 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := omit } } 05:48:59.120018 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected" 05:48:59.120056 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E6563746564'O ("GET 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected") } 05:48:59.120074 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E6563746564'O ("GET 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected") } 05:48:59.120104 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0041EE004745542033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E6563746564'O 05:48:59.120129 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0041EE004745542033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E6563746564'O } 05:48:59.120318 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0049EE004745545F5245504C592033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E65637465642032'O } id 9 05:48:59.120336 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0049EE004745545F5245504C592033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E65637465642032'O 05:48:59.120361 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 73, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E65637465642032'O ("GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2") } 05:48:59.120392 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E65637465642032'O ("GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2") } id 9 05:48:59.120405 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.120422 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033363738363430303420737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A616C6C5F7472785F72736C5F636F6E6E65637465642032'O ("GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2") } id 9 05:48:59.120434 32 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 05:48:59.120445 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2" 05:48:59.120458 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120468 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.120478 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.120493 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120507 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.120519 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.120528 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.120538 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120547 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.120557 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.120572 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120582 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.120593 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.120603 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.120612 32 IPA_Emulation.ttcnpp:627 match_begin data: 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120621 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.120631 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.120640 32 IPA_Emulation.ttcnpp:627 match_first data: 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120650 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.120659 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.120669 32 IPA_Emulation.ttcnpp:627 match_list data: 367864004 stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120678 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.120687 32 IPA_Emulation.ttcnpp:627 match_begin data: stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120697 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.120706 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.120715 32 IPA_Emulation.ttcnpp:627 match_first data: stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120725 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.120734 32 IPA_Emulation.ttcnpp:627 match_first result: 50 05:48:59.120743 32 IPA_Emulation.ttcnpp:627 match_list data: stat_item.last.bsc.0.num_bts:all_trx_rsl_connected 2 05:48:59.120753 32 IPA_Emulation.ttcnpp:627 match_list result: 50 05:48:59.120762 32 IPA_Emulation.ttcnpp:627 match_begin data: 2 05:48:59.120771 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.120781 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.120790 32 IPA_Emulation.ttcnpp:627 match_first data: 2 05:48:59.120799 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.120809 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.120818 32 IPA_Emulation.ttcnpp:627 match_list data: 2 05:48:59.120827 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.120837 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := "2" } } 05:48:59.120853 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := "2" } } 05:48:59.120897 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := "2" } } id 10 05:48:59.120937 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.120966 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "367864004", variable := "stat_item.last.bsc.0.num_bts:all_trx_rsl_connected", val := "2" } } id 10 05:48:59.120991 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 10 was extracted from the queue of IPA_CTRL. 05:48:59.121015 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.516303. 05:48:59.121046 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := omit } } 05:48:59.121078 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.121088 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := omit } } id 8 05:48:59.121104 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.121117 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := omit } } id 8 05:48:59.121128 32 IPA_Emulation.ttcnpp:879 Message with id 8 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.121138 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := omit } } 05:48:59.121155 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 516302770 stat_item.last.bsc.0.num_bts:total" 05:48:59.121175 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C'O ("GET 516302770 stat_item.last.bsc.0.num_bts:total") } 05:48:59.121187 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C'O ("GET 516302770 stat_item.last.bsc.0.num_bts:total") } 05:48:59.121207 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0031EE004745542035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C'O 05:48:59.121225 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0031EE004745542035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C'O } 05:48:59.121366 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C592035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C2034'O } id 10 05:48:59.121381 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C592035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C2034'O 05:48:59.121398 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C2034'O ("GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4") } 05:48:59.121430 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C2034'O ("GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4") } id 10 05:48:59.121443 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.121458 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592035313633303237373020737461745F6974656D2E6C6173742E6273632E302E6E756D5F6274733A746F74616C2034'O ("GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4") } id 10 05:48:59.121469 32 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 05:48:59.121480 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4" 05:48:59.121493 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121502 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.121512 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.121524 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121533 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.121544 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.121554 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.121564 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121578 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.121588 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.121599 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121609 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.121620 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.121630 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.121639 32 IPA_Emulation.ttcnpp:627 match_begin data: 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121648 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.121658 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.121668 32 IPA_Emulation.ttcnpp:627 match_first data: 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121677 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.121686 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.121696 32 IPA_Emulation.ttcnpp:627 match_list data: 516302770 stat_item.last.bsc.0.num_bts:total 4 05:48:59.121714 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.121725 32 IPA_Emulation.ttcnpp:627 match_begin data: stat_item.last.bsc.0.num_bts:total 4 05:48:59.121735 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.121744 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.121754 32 IPA_Emulation.ttcnpp:627 match_first data: stat_item.last.bsc.0.num_bts:total 4 05:48:59.121763 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.121773 32 IPA_Emulation.ttcnpp:627 match_first result: 34 05:48:59.121782 32 IPA_Emulation.ttcnpp:627 match_list data: stat_item.last.bsc.0.num_bts:total 4 05:48:59.121793 32 IPA_Emulation.ttcnpp:627 match_list result: 34 05:48:59.121804 32 IPA_Emulation.ttcnpp:627 match_begin data: 4 05:48:59.121814 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.121823 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.121833 32 IPA_Emulation.ttcnpp:627 match_first data: 4 05:48:59.121842 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.121851 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.121860 32 IPA_Emulation.ttcnpp:627 match_list data: 4 05:48:59.121870 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.121879 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := "4" } } 05:48:59.121894 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := "4" } } 05:48:59.121958 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := "4" } } id 11 05:48:59.122016 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.122046 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "516302770", variable := "stat_item.last.bsc.0.num_bts:total", val := "4" } } id 11 05:48:59.122074 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 11 was extracted from the queue of IPA_CTRL. 05:48:59.122101 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.506664. 05:48:59.122135 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := omit } } 05:48:59.122169 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.122179 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := omit } } id 9 05:48:59.122196 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.122208 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := omit } } id 9 05:48:59.122220 32 IPA_Emulation.ttcnpp:879 Message with id 9 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.122230 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := omit } } 05:48:59.122242 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 506663511 stat_item.last.bsc.0.num_trx:rsl_connected" 05:48:59.122263 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E6563746564'O ("GET 506663511 stat_item.last.bsc.0.num_trx:rsl_connected") } 05:48:59.122280 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E6563746564'O ("GET 506663511 stat_item.last.bsc.0.num_trx:rsl_connected") } 05:48:59.122301 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0039EE004745542035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E6563746564'O 05:48:59.122319 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0039EE004745542035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E6563746564'O } 05:48:59.122464 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0041EE004745545F5245504C592035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E65637465642032'O } id 11 05:48:59.122479 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0041EE004745545F5245504C592035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E65637465642032'O 05:48:59.122499 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 65, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E65637465642032'O ("GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2") } 05:48:59.122530 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E65637465642032'O ("GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2") } id 11 05:48:59.122543 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.122558 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592035303636363335313120737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A72736C5F636F6E6E65637465642032'O ("GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2") } id 11 05:48:59.122570 32 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 05:48:59.122581 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2" 05:48:59.122593 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122603 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.122613 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.122625 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122634 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.122645 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.122655 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.122664 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122674 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.122683 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.122695 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122704 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.122722 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.122732 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.122741 32 IPA_Emulation.ttcnpp:627 match_begin data: 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122751 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.122760 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.122770 32 IPA_Emulation.ttcnpp:627 match_first data: 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122779 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.122789 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.122798 32 IPA_Emulation.ttcnpp:627 match_list data: 506663511 stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122807 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.122816 32 IPA_Emulation.ttcnpp:627 match_begin data: stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122826 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.122835 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.122845 32 IPA_Emulation.ttcnpp:627 match_first data: stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122854 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.122864 32 IPA_Emulation.ttcnpp:627 match_first result: 42 05:48:59.122873 32 IPA_Emulation.ttcnpp:627 match_list data: stat_item.last.bsc.0.num_trx:rsl_connected 2 05:48:59.122882 32 IPA_Emulation.ttcnpp:627 match_list result: 42 05:48:59.122891 32 IPA_Emulation.ttcnpp:627 match_begin data: 2 05:48:59.122900 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.122910 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.122919 32 IPA_Emulation.ttcnpp:627 match_first data: 2 05:48:59.122928 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.122938 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.122947 32 IPA_Emulation.ttcnpp:627 match_list data: 2 05:48:59.122956 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.122966 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := "2" } } 05:48:59.122981 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := "2" } } 05:48:59.123045 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := "2" } } id 12 05:48:59.123101 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.123131 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "506663511", variable := "stat_item.last.bsc.0.num_trx:rsl_connected", val := "2" } } id 12 05:48:59.123156 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 12 was extracted from the queue of IPA_CTRL. 05:48:59.123181 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.904042. 05:48:59.123215 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := omit } } 05:48:59.123259 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.123268 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := omit } } id 10 05:48:59.123285 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.123297 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := omit } } id 10 05:48:59.123308 32 IPA_Emulation.ttcnpp:879 Message with id 10 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.123318 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := omit } } 05:48:59.123330 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 904041623 stat_item.last.bsc.0.num_trx:total" 05:48:59.123350 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C'O ("GET 904041623 stat_item.last.bsc.0.num_trx:total") } 05:48:59.123362 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C'O ("GET 904041623 stat_item.last.bsc.0.num_trx:total") } 05:48:59.123386 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0031EE004745542039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C'O 05:48:59.123403 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0031EE004745542039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C'O } 05:48:59.123544 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C592039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C2037'O } id 12 05:48:59.123558 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C592039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C2037'O 05:48:59.123575 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C2037'O ("GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7") } 05:48:59.123607 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C2037'O ("GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7") } id 12 05:48:59.123620 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.123635 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039303430343136323320737461745F6974656D2E6C6173742E6273632E302E6E756D5F7472783A746F74616C2037'O ("GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7") } id 12 05:48:59.123646 32 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 05:48:59.123656 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7" 05:48:59.123669 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123679 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.123688 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.123700 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123710 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.123720 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.123730 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.123739 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123749 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.123758 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.123769 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123779 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.123790 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.123799 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.123809 32 IPA_Emulation.ttcnpp:627 match_begin data: 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123818 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.123827 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.123837 32 IPA_Emulation.ttcnpp:627 match_first data: 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123851 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.123860 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.123869 32 IPA_Emulation.ttcnpp:627 match_list data: 904041623 stat_item.last.bsc.0.num_trx:total 7 05:48:59.123879 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.123888 32 IPA_Emulation.ttcnpp:627 match_begin data: stat_item.last.bsc.0.num_trx:total 7 05:48:59.123898 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.123907 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.123917 32 IPA_Emulation.ttcnpp:627 match_first data: stat_item.last.bsc.0.num_trx:total 7 05:48:59.123926 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.123935 32 IPA_Emulation.ttcnpp:627 match_first result: 34 05:48:59.123944 32 IPA_Emulation.ttcnpp:627 match_list data: stat_item.last.bsc.0.num_trx:total 7 05:48:59.123954 32 IPA_Emulation.ttcnpp:627 match_list result: 34 05:48:59.123963 32 IPA_Emulation.ttcnpp:627 match_begin data: 7 05:48:59.123972 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.123982 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.123992 32 IPA_Emulation.ttcnpp:627 match_first data: 7 05:48:59.124003 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.124013 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.124025 32 IPA_Emulation.ttcnpp:627 match_list data: 7 05:48:59.124034 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.124044 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := "7" } } 05:48:59.124058 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := "7" } } 05:48:59.124122 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := "7" } } id 13 05:48:59.124177 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.124207 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "904041623", variable := "stat_item.last.bsc.0.num_trx:total", val := "7" } } id 13 05:48:59.124232 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 13 was extracted from the queue of IPA_CTRL. 05:48:59.124262 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.522338. 05:48:59.124295 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "522338080", variable := "bts.0.rf_states", val := omit } } 05:48:59.124329 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.124338 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "522338080", variable := "bts.0.rf_states", val := omit } } id 11 05:48:59.124354 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.124366 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "522338080", variable := "bts.0.rf_states", val := omit } } id 11 05:48:59.124377 32 IPA_Emulation.ttcnpp:879 Message with id 11 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.124387 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "522338080", variable := "bts.0.rf_states", val := omit } } 05:48:59.124399 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 522338080 bts.0.rf_states" 05:48:59.124416 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420353232333338303830206274732E302E72665F737461746573'O ("GET 522338080 bts.0.rf_states") } 05:48:59.124428 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420353232333338303830206274732E302E72665F737461746573'O ("GET 522338080 bts.0.rf_states") } 05:48:59.124446 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001EEE0047455420353232333338303830206274732E302E72665F737461746573'O 05:48:59.124461 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001EEE0047455420353232333338303830206274732E302E72665F737461746573'O } 05:48:59.124612 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0048EE004745545F5245504C5920353232333338303830206274732E302E72665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O } id 13 05:48:59.124631 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0048EE004745545F5245504C5920353232333338303830206274732E302E72665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O 05:48:59.124652 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 72, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920353232333338303830206274732E302E72665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up;") } 05:48:59.124682 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353232333338303830206274732E302E72665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up;") } id 13 05:48:59.124697 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.124712 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353232333338303830206274732E302E72665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up;") } id 13 05:48:59.124724 32 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 05:48:59.124734 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up;" 05:48:59.124747 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124757 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.124766 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.124778 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124788 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.124799 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.124808 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.124818 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124827 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.124837 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.124848 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124857 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.124868 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.124878 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.124887 32 IPA_Emulation.ttcnpp:627 match_begin data: 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124896 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.124906 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.124915 32 IPA_Emulation.ttcnpp:627 match_first data: 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124924 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.124934 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.124943 32 IPA_Emulation.ttcnpp:627 match_list data: 522338080 bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124952 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.124962 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.124971 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.124985 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.124995 32 IPA_Emulation.ttcnpp:627 match_first data: bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.125004 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.125013 32 IPA_Emulation.ttcnpp:627 match_first result: 15 05:48:59.125023 32 IPA_Emulation.ttcnpp:627 match_list data: bts.0.rf_states 0,0,operational,unlocked,on,rsl-up; 05:48:59.125032 32 IPA_Emulation.ttcnpp:627 match_list result: 15 05:48:59.125041 32 IPA_Emulation.ttcnpp:627 match_begin data: 0,0,operational,unlocked,on,rsl-up; 05:48:59.125051 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.125061 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.125072 32 IPA_Emulation.ttcnpp:627 match_first data: 0,0,operational,unlocked,on,rsl-up; 05:48:59.125083 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.125094 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.125105 32 IPA_Emulation.ttcnpp:627 match_list data: 0,0,operational,unlocked,on,rsl-up; 05:48:59.125115 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.125124 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "522338080", variable := "bts.0.rf_states", val := "0,0,operational,unlocked,on,rsl-up;" } } 05:48:59.125140 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "522338080", variable := "bts.0.rf_states", val := "0,0,operational,unlocked,on,rsl-up;" } } 05:48:59.125204 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "522338080", variable := "bts.0.rf_states", val := "0,0,operational,unlocked,on,rsl-up;" } } id 14 05:48:59.125260 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.125290 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "522338080", variable := "bts.0.rf_states", val := "0,0,operational,unlocked,on,rsl-up;" } } id 14 05:48:59.125316 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 14 was extracted from the queue of IPA_CTRL. 05:48:59.125345 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.266988. 05:48:59.125378 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "266987835", variable := "bts.1.rf_states", val := omit } } 05:48:59.125412 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.125421 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "266987835", variable := "bts.1.rf_states", val := omit } } id 12 05:48:59.125437 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.125449 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "266987835", variable := "bts.1.rf_states", val := omit } } id 12 05:48:59.125460 32 IPA_Emulation.ttcnpp:879 Message with id 12 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.125470 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "266987835", variable := "bts.1.rf_states", val := omit } } 05:48:59.125482 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 266987835 bts.1.rf_states" 05:48:59.125500 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420323636393837383335206274732E312E72665F737461746573'O ("GET 266987835 bts.1.rf_states") } 05:48:59.125512 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420323636393837383335206274732E312E72665F737461746573'O ("GET 266987835 bts.1.rf_states") } 05:48:59.125529 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001EEE0047455420323636393837383335206274732E312E72665F737461746573'O 05:48:59.125544 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001EEE0047455420323636393837383335206274732E312E72665F737461746573'O } 05:48:59.125686 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '0048EE004745545F5245504C5920323636393837383335206274732E312E72665F73746174657320312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O } id 14 05:48:59.125701 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0048EE004745545F5245504C5920323636393837383335206274732E312E72665F73746174657320312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O 05:48:59.125733 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 72, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920323636393837383335206274732E312E72665F73746174657320312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up;") } 05:48:59.125763 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920323636393837383335206274732E312E72665F73746174657320312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up;") } id 14 05:48:59.125777 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.125793 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920323636393837383335206274732E312E72665F73746174657320312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B'O ("GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up;") } id 14 05:48:59.125804 32 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 05:48:59.125815 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up;" 05:48:59.125828 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.125837 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.125847 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.125859 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.125868 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.125879 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.125888 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.125898 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.125907 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.125917 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.125928 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.125938 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.125949 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.125958 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.125968 32 IPA_Emulation.ttcnpp:627 match_begin data: 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.125977 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.125987 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.125996 32 IPA_Emulation.ttcnpp:627 match_first data: 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.126006 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.126016 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.126027 32 IPA_Emulation.ttcnpp:627 match_list data: 266987835 bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.126036 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.126045 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.126055 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.126064 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.126074 32 IPA_Emulation.ttcnpp:627 match_first data: bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.126083 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.126092 32 IPA_Emulation.ttcnpp:627 match_first result: 15 05:48:59.126102 32 IPA_Emulation.ttcnpp:627 match_list data: bts.1.rf_states 1,0,operational,unlocked,on,rsl-up; 05:48:59.126115 32 IPA_Emulation.ttcnpp:627 match_list result: 15 05:48:59.126125 32 IPA_Emulation.ttcnpp:627 match_begin data: 1,0,operational,unlocked,on,rsl-up; 05:48:59.126134 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.126143 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.126153 32 IPA_Emulation.ttcnpp:627 match_first data: 1,0,operational,unlocked,on,rsl-up; 05:48:59.126162 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.126171 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.126181 32 IPA_Emulation.ttcnpp:627 match_list data: 1,0,operational,unlocked,on,rsl-up; 05:48:59.126190 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.126199 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "266987835", variable := "bts.1.rf_states", val := "1,0,operational,unlocked,on,rsl-up;" } } 05:48:59.126214 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "266987835", variable := "bts.1.rf_states", val := "1,0,operational,unlocked,on,rsl-up;" } } 05:48:59.126279 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "266987835", variable := "bts.1.rf_states", val := "1,0,operational,unlocked,on,rsl-up;" } } id 15 05:48:59.126336 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.126367 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "266987835", variable := "bts.1.rf_states", val := "1,0,operational,unlocked,on,rsl-up;" } } id 15 05:48:59.126392 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 15 was extracted from the queue of IPA_CTRL. 05:48:59.126427 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.519690. 05:48:59.126460 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519689749", variable := "bts.2.rf_states", val := omit } } 05:48:59.126493 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.126502 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519689749", variable := "bts.2.rf_states", val := omit } } id 13 05:48:59.126519 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.126530 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519689749", variable := "bts.2.rf_states", val := omit } } id 13 05:48:59.126541 32 IPA_Emulation.ttcnpp:879 Message with id 13 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.126551 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "519689749", variable := "bts.2.rf_states", val := omit } } 05:48:59.126563 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 519689749 bts.2.rf_states" 05:48:59.126581 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420353139363839373439206274732E322E72665F737461746573'O ("GET 519689749 bts.2.rf_states") } 05:48:59.126592 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420353139363839373439206274732E322E72665F737461746573'O ("GET 519689749 bts.2.rf_states") } 05:48:59.126609 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001EEE0047455420353139363839373439206274732E322E72665F737461746573'O 05:48:59.126624 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001EEE0047455420353139363839373439206274732E322E72665F737461746573'O } 05:48:59.126775 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '00B9EE004745545F5245504C5920353139363839373439206274732E322E72665F73746174657320322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B'O } id 15 05:48:59.126790 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00B9EE004745545F5245504C5920353139363839373439206274732E322E72665F73746174657320322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B'O 05:48:59.126827 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 185, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920353139363839373439206274732E322E72665F73746174657320322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;") } 05:48:59.126883 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353139363839373439206274732E322E72665F73746174657320322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;") } id 15 05:48:59.126897 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.126920 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353139363839373439206274732E322E72665F73746174657320322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;") } id 15 05:48:59.126932 32 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 05:48:59.126943 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;" 05:48:59.126958 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.126968 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.126977 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.126989 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.126998 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.127009 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.127019 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.127028 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127038 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.127053 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.127067 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127077 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.127091 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.127101 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.127112 32 IPA_Emulation.ttcnpp:627 match_begin data: 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127122 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.127131 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.127141 32 IPA_Emulation.ttcnpp:627 match_first data: 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127150 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.127159 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.127169 32 IPA_Emulation.ttcnpp:627 match_list data: 519689749 bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127178 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.127188 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127197 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.127207 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.127216 32 IPA_Emulation.ttcnpp:627 match_first data: bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127225 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.127235 32 IPA_Emulation.ttcnpp:627 match_first result: 15 05:48:59.127244 32 IPA_Emulation.ttcnpp:627 match_list data: bts.2.rf_states 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127253 32 IPA_Emulation.ttcnpp:627 match_list result: 15 05:48:59.127263 32 IPA_Emulation.ttcnpp:627 match_begin data: 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127272 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.127282 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.127291 32 IPA_Emulation.ttcnpp:627 match_first data: 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127300 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.127310 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.127319 32 IPA_Emulation.ttcnpp:627 match_list data: 2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down; 05:48:59.127328 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.127338 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "519689749", variable := "bts.2.rf_states", val := "2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;" } } 05:48:59.127358 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519689749", variable := "bts.2.rf_states", val := "2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;" } } 05:48:59.127442 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519689749", variable := "bts.2.rf_states", val := "2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;" } } id 16 05:48:59.127498 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.127533 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519689749", variable := "bts.2.rf_states", val := "2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;" } } id 16 05:48:59.127569 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 16 was extracted from the queue of IPA_CTRL. 05:48:59.127599 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.349116. 05:48:59.127632 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "349115926", variable := "bts.3.rf_states", val := omit } } 05:48:59.127666 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.127679 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "349115926", variable := "bts.3.rf_states", val := omit } } id 14 05:48:59.127696 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.127708 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "349115926", variable := "bts.3.rf_states", val := omit } } id 14 05:48:59.127719 32 IPA_Emulation.ttcnpp:879 Message with id 14 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.127729 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "349115926", variable := "bts.3.rf_states", val := omit } } 05:48:59.127741 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 349115926 bts.3.rf_states" 05:48:59.127759 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420333439313135393236206274732E332E72665F737461746573'O ("GET 349115926 bts.3.rf_states") } 05:48:59.127771 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420333439313135393236206274732E332E72665F737461746573'O ("GET 349115926 bts.3.rf_states") } 05:48:59.127788 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001EEE0047455420333439313135393236206274732E332E72665F737461746573'O 05:48:59.127803 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001EEE0047455420333439313135393236206274732E332E72665F737461746573'O } 05:48:59.127945 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '004AEE004745545F5245504C5920333439313135393236206274732E332E72665F73746174657320332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O } id 16 05:48:59.127960 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '004AEE004745545F5245504C5920333439313135393236206274732E332E72665F73746174657320332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O 05:48:59.127977 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 74, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920333439313135393236206274732E332E72665F73746174657320332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down;") } 05:48:59.128007 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333439313135393236206274732E332E72665F73746174657320332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down;") } id 16 05:48:59.128019 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.128036 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333439313135393236206274732E332E72665F73746174657320332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down;") } id 16 05:48:59.128048 32 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 05:48:59.128065 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down;" 05:48:59.128078 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128087 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.128097 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.128109 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128118 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.128129 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.128138 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.128148 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128157 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.128167 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.128178 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128187 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.128198 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.128208 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.128217 32 IPA_Emulation.ttcnpp:627 match_begin data: 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128226 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.128236 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.128245 32 IPA_Emulation.ttcnpp:627 match_first data: 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128254 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.128264 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.128273 32 IPA_Emulation.ttcnpp:627 match_list data: 349115926 bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128282 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.128292 32 IPA_Emulation.ttcnpp:627 match_begin data: bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128301 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.128310 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.128320 32 IPA_Emulation.ttcnpp:627 match_first data: bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128329 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.128338 32 IPA_Emulation.ttcnpp:627 match_first result: 15 05:48:59.128348 32 IPA_Emulation.ttcnpp:627 match_list data: bts.3.rf_states 3,0,inoperational,locked,on,rsl-down; 05:48:59.128357 32 IPA_Emulation.ttcnpp:627 match_list result: 15 05:48:59.128366 32 IPA_Emulation.ttcnpp:627 match_begin data: 3,0,inoperational,locked,on,rsl-down; 05:48:59.128375 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.128385 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.128394 32 IPA_Emulation.ttcnpp:627 match_first data: 3,0,inoperational,locked,on,rsl-down; 05:48:59.128403 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.128413 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.128422 32 IPA_Emulation.ttcnpp:627 match_list data: 3,0,inoperational,locked,on,rsl-down; 05:48:59.128431 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.128441 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "349115926", variable := "bts.3.rf_states", val := "3,0,inoperational,locked,on,rsl-down;" } } 05:48:59.128456 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "349115926", variable := "bts.3.rf_states", val := "3,0,inoperational,locked,on,rsl-down;" } } 05:48:59.128489 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "349115926", variable := "bts.3.rf_states", val := "3,0,inoperational,locked,on,rsl-down;" } } id 17 05:48:59.128516 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.128537 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "349115926", variable := "bts.3.rf_states", val := "3,0,inoperational,locked,on,rsl-down;" } } id 17 05:48:59.128555 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 17 was extracted from the queue of IPA_CTRL. 05:48:59.128574 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.119494. 05:48:59.128596 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "119493730", variable := "rf_states", val := omit } } 05:48:59.128620 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 05:48:59.128629 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "119493730", variable := "rf_states", val := omit } } id 15 05:48:59.128650 32 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 05:48:59.128661 32 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "119493730", variable := "rf_states", val := omit } } id 15 05:48:59.128672 32 IPA_Emulation.ttcnpp:879 Message with id 15 was extracted from the queue of IPA_CTRL_PORT. 05:48:59.128682 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "119493730", variable := "rf_states", val := omit } } 05:48:59.128694 32 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 119493730 rf_states" 05:48:59.128712 32 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '474554203131393439333733302072665F737461746573'O ("GET 119493730 rf_states") } 05:48:59.128723 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '474554203131393439333733302072665F737461746573'O ("GET 119493730 rf_states") } 05:48:59.128740 32 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0018EE00474554203131393439333733302072665F737461746573'O 05:48:59.128754 32 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0018EE00474554203131393439333733302072665F737461746573'O } 05:48:59.128903 32 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.115.20", remPort := 4249, locName := "172.18.115.203", locPort := 39651, proto := { tcp := { } }, userData := 0, msg := '011EEE004745545F5245504C59203131393439333733302072665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O } id 17 05:48:59.128919 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '011EEE004745545F5245504C59203131393439333733302072665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O 05:48:59.128953 32 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 286, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C59203131393439333733302072665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;") } 05:48:59.129096 32 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203131393439333733302072665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;") } id 17 05:48:59.129111 32 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 05:48:59.129140 32 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203131393439333733302072665F73746174657320302C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B312C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D75703B322C302C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C312C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C322C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B322C332C6F7065726174696F6E616C2C756E6C6F636B65642C6F6E2C72736C2D646F776E3B332C302C696E6F7065726174696F6E616C2C6C6F636B65642C6F6E2C72736C2D646F776E3B'O ("GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;") } id 17 05:48:59.129154 32 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 05:48:59.129164 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;" 05:48:59.129181 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129191 32 IPA_Emulation.ttcnpp:627 match_begin token: null_match 05:48:59.129201 32 IPA_Emulation.ttcnpp:627 match_begin result: 0 05:48:59.129213 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129222 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 05:48:59.129233 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.129242 32 IPA_Emulation.ttcnpp:627 match_begin result: 3 05:48:59.129252 32 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129265 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.129275 32 IPA_Emulation.ttcnpp:627 match_begin result: -1 05:48:59.129287 32 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129296 32 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 05:48:59.129307 32 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 05:48:59.129316 32 IPA_Emulation.ttcnpp:627 match_begin result: 9 05:48:59.129326 32 IPA_Emulation.ttcnpp:627 match_begin data: 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129335 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.129345 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.129354 32 IPA_Emulation.ttcnpp:627 match_first data: 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129364 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.129373 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.129382 32 IPA_Emulation.ttcnpp:627 match_list data: 119493730 rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129392 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.129401 32 IPA_Emulation.ttcnpp:627 match_begin data: rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129410 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.129420 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.129429 32 IPA_Emulation.ttcnpp:627 match_first data: rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129438 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.129448 32 IPA_Emulation.ttcnpp:627 match_first result: 9 05:48:59.129457 32 IPA_Emulation.ttcnpp:627 match_list data: rf_states 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129466 32 IPA_Emulation.ttcnpp:627 match_list result: 9 05:48:59.129476 32 IPA_Emulation.ttcnpp:627 match_begin data: 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129485 32 IPA_Emulation.ttcnpp:627 match_begin token: " " 05:48:59.129494 32 IPA_Emulation.ttcnpp:627 match_begin result: 1 05:48:59.129504 32 IPA_Emulation.ttcnpp:627 match_first data: 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129517 32 IPA_Emulation.ttcnpp:627 match_first token: " " 05:48:59.129527 32 IPA_Emulation.ttcnpp:627 match_first result: -1 05:48:59.129536 32 IPA_Emulation.ttcnpp:627 match_list data: 0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down; 05:48:59.129545 32 IPA_Emulation.ttcnpp:627 match_list result: -1 05:48:59.129555 32 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "119493730", variable := "rf_states", val := "0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;" } } 05:48:59.129579 32 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "119493730", variable := "rf_states", val := "0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;" } } 05:48:59.129619 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(32) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "119493730", variable := "rf_states", val := "0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;" } } id 18 05:48:59.129646 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 05:48:59.129673 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(32): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "119493730", variable := "rf_states", val := "0,0,operational,unlocked,on,rsl-up;1,0,operational,unlocked,on,rsl-up;2,0,operational,unlocked,on,rsl-down;2,1,operational,unlocked,on,rsl-down;2,2,operational,unlocked,on,rsl-down;2,3,operational,unlocked,on,rsl-down;3,0,inoperational,locked,on,rsl-down;" } } id 18 05:48:59.129692 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 18 was extracted from the queue of IPA_CTRL. 05:48:59.129747 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 05:48:59.129813 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 05:48:59.130430 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" id 36 05:48:59.130456 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 37 05:48:59.130514 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:59.130559 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 05:48:59.130608 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 05:48:59.130638 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 05:48:59.130662 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" with ? matched 05:48:59.130684 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1357481 bytes in 1258 blocks)" id 36 05:48:59.130706 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 36 was extracted from the queue of BSCVTY. 05:48:59.130740 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 05:48:59.130777 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 05:48:59.130798 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 37 05:48:59.130819 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 37 was extracted from the queue of BSCVTY. 05:48:59.130840 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 05:48:59.130863 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 05:48:59.130895 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 05:48:59.130924 mtc BSC_Tests.ttcn:918 Stopping all components. 05:48:59.130974 27 StatsD_Checker.ttcn:119 Kill was requested from MC. 05:48:59.130988 27 StatsD_Checker.ttcn:119 Terminating test component execution. 05:48:59.130990 28 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 05:48:59.131008 32 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 05:48:59.131014 29 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 05:48:59.131014 30 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 05:48:59.131016 33 MGCP_Emulation.ttcn:290 Stop was requested from MC. 05:48:59.131027 28 SCCP_Emulation.ttcn:5513 Terminating test component execution. 05:48:59.131043 36 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 05:48:59.131045 32 IPA_Emulation.ttcnpp:735 Terminating test component execution. 05:48:59.131063 33 MGCP_Emulation.ttcn:290 Stopping test component execution. 05:48:59.131066 35 RSL_Emulation.ttcn:496 Stop was requested from MC. 05:48:59.131069 29 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 05:48:59.131069 30 IPA_Emulation.ttcnpp:735 Terminating test component execution. 05:48:59.131085 36 IPA_Emulation.ttcnpp:735 Stopping test component execution. 05:48:59.131124 35 RSL_Emulation.ttcn:496 Stopping test component execution. 05:48:59.131283 27 - Function main was stopped. PTC terminates. 05:48:59.131312 27 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 05:48:59.131322 27 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 05:48:59.131352 34 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 05:48:59.131374 27 - Port STATSVTY was unmapped from system:STATSVTY. 05:48:59.131391 27 - Port STATSVTY was stopped. 05:48:59.131402 27 - Port STATSD_PROC was stopped. 05:48:59.131410 27 - Removing unterminated mapping between port STATS and system:STATS. 05:48:59.131418 34 IPA_Emulation.ttcnpp:735 Stopping test component execution. 05:48:59.131433 37 RSL_Emulation.ttcn:496 Stop was requested from MC. 05:48:59.131484 37 RSL_Emulation.ttcn:496 Stopping test component execution. 05:48:59.131560 28 - Function SCCPStart was stopped. PTC terminates. 05:48:59.131609 28 - Terminating component type SCCP_Emulation.SCCP_CT. 05:48:59.131620 36 - Function main_client was stopped. PTC remains alive and is waiting for next start. 05:48:59.131642 27 - Port STATS was unmapped from system:STATS. 05:48:59.131657 27 - Port STATS was stopped. 05:48:59.131666 27 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.131678 27 - Final verdict of PTC: none 05:48:59.131681 28 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.131705 28 - Final verdict of PTC: none 05:48:59.131793 27 - Disconnected from MC. 05:48:59.131796 33 - Function main was stopped. PTC remains alive and is waiting for next start. 05:48:59.131820 32 - Function main_client was stopped. PTC terminates. 05:48:59.131841 27 - TTCN-3 Parallel Test Component finished. 05:48:59.131856 35 - Function main was stopped. PTC remains alive and is waiting for next start. 05:48:59.131894 32 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 05:48:59.131897 30 - Function main_server was stopped. PTC terminates. 05:48:59.131915 32 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 05:48:59.131954 29 - Function main was stopped. PTC terminates. 05:48:59.131976 30 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 05:48:59.132005 30 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 05:48:59.132034 29 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 05:48:59.132040 37 - Function main was stopped. PTC remains alive and is waiting for next start. 05:48:59.132067 29 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(28):SCCP_SP_PORT. 05:48:59.132128 29 - Port BSSAP was stopped. 05:48:59.132157 29 - Port CLIENT was stopped. 05:48:59.132182 29 - Removing unterminated connection between port MGCP and VirtMSC-IPA(30):IPA_MGCP_PORT. 05:48:59.132206 34 - Function main_client was stopped. PTC remains alive and is waiting for next start. 05:48:59.132250 29 - Port MGCP was stopped. 05:48:59.132269 32 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 05:48:59.132277 29 - Removing unterminated connection between port CTRL and VirtMSC-IPA(30):IPA_CTRL_PORT. 05:48:59.132300 32 - Port IPA_PORT was stopped. 05:48:59.132318 32 - Port CFG_PORT was stopped. 05:48:59.132334 32 - Port MTP3_SP_PORT was stopped. 05:48:59.132335 29 - Port CTRL was stopped. 05:48:59.132349 32 - Port IPA_MGCP_PORT was stopped. 05:48:59.132361 29 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 05:48:59.132365 32 - Port IPA_RSL_PORT was stopped. 05:48:59.132381 32 - Port IPA_OML_PORT was stopped. 05:48:59.132396 32 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 05:48:59.132412 29 - Port CTRL_CLIENT was stopped. 05:48:59.132426 32 - Port IPA_CTRL_PORT was stopped. 05:48:59.132438 29 - Port PROC was stopped. 05:48:59.132442 32 - Port IPA_SP_PORT was stopped. 05:48:59.132451 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(29):CTRL_CLIENT was closed unexpectedly by the peer. 05:48:59.132458 32 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.132463 29 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.132481 32 - Final verdict of PTC: none 05:48:59.132497 29 - Final verdict of PTC: none 05:48:59.132499 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(29):CTRL_CLIENT. 05:48:59.132560 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT was closed unexpectedly by the peer. 05:48:59.132563 32 - Disconnected from MC. 05:48:59.132584 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(32):IPA_CTRL_PORT. 05:48:59.132588 32 - TTCN-3 Parallel Test Component finished. 05:48:59.132593 29 - Disconnected from MC. 05:48:59.132611 30 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 05:48:59.132623 29 - TTCN-3 Parallel Test Component finished. 05:48:59.132663 30 - Port IPA_PORT was stopped. 05:48:59.132690 30 - Port CFG_PORT was stopped. 05:48:59.132713 30 - Removing unterminated connection between port MTP3_SP_PORT and VirtMSC-SCCP(28):MTP3_SCCP_PORT. 05:48:59.132759 30 - Port MTP3_SP_PORT was stopped. 05:48:59.132783 30 - Removing unterminated connection between port IPA_MGCP_PORT and VirtMSC-RAN(29):MGCP. 05:48:59.132826 30 - Port IPA_MGCP_PORT was stopped. 05:48:59.132849 30 - Port IPA_RSL_PORT was stopped. 05:48:59.132871 30 - Port IPA_OML_PORT was stopped. 05:48:59.132892 30 - Removing unterminated connection between port IPA_CTRL_PORT and VirtMSC-RAN(29):CTRL. 05:48:59.132933 30 - Port IPA_CTRL_PORT was stopped. 05:48:59.132956 30 - Port IPA_SP_PORT was stopped. 05:48:59.132978 30 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.133010 30 - Final verdict of PTC: none 05:48:59.133042 mtc BSC_Tests.ttcn:918 All components were stopped. 05:48:59.133072 mtc BSC_Tests.ttcn:919 setverdict(pass): none -> pass 05:48:59.133094 30 - Disconnected from MC. 05:48:59.133103 mtc BSC_Tests.ttcn:920 Stopping test component execution. 05:48:59.133120 30 - TTCN-3 Parallel Test Component finished. 05:48:59.133154 mtc BSC_Tests.ttcn:3319 Test case TC_stat_num_bts_connected_2 was stopped. 05:48:59.133174 mtc BSC_Tests.ttcn:3319 Terminating component type BSC_Tests.test_CT. 05:48:59.133196 mtc BSC_Tests.ttcn:3319 Default with id 1 (altstep as_Tguard) was deactivated. 05:48:59.133219 mtc BSC_Tests.ttcn:3319 Stop timer T_guard: 30 s 05:48:59.133244 mtc BSC_Tests.ttcn:3319 Port IPA_CTRL was stopped. 05:48:59.133264 mtc BSC_Tests.ttcn:3319 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(35):CCHAN_PT. 05:48:59.133325 35 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 05:48:59.133327 mtc BSC_Tests.ttcn:3319 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133352 mtc BSC_Tests.ttcn:3319 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133364 35 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 05:48:59.133373 mtc BSC_Tests.ttcn:3319 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133394 mtc BSC_Tests.ttcn:3319 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133414 mtc BSC_Tests.ttcn:3319 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133434 mtc BSC_Tests.ttcn:3319 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133455 mtc BSC_Tests.ttcn:3319 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133475 mtc BSC_Tests.ttcn:3319 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133496 mtc BSC_Tests.ttcn:3319 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133516 mtc BSC_Tests.ttcn:3319 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133548 mtc BSC_Tests.ttcn:3319 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133568 mtc BSC_Tests.ttcn:3319 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133590 mtc BSC_Tests.ttcn:3319 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 05:48:59.133609 mtc BSC_Tests.ttcn:3319 Port RSL_CCHAN[0] was stopped. 05:48:59.133629 mtc BSC_Tests.ttcn:3319 Removing unterminated connection between port RSL_CCHAN[1] and IPA-BTS1-TRX0-RSL-RSL(37):CCHAN_PT. 05:48:59.133682 mtc BSC_Tests.ttcn:3319 Message with id 1 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133685 37 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[1] was closed unexpectedly by the peer. 05:48:59.133719 mtc BSC_Tests.ttcn:3319 Message with id 2 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133737 37 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[1]. 05:48:59.133742 mtc BSC_Tests.ttcn:3319 Message with id 3 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133763 mtc BSC_Tests.ttcn:3319 Message with id 4 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133783 mtc BSC_Tests.ttcn:3319 Message with id 5 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133803 mtc BSC_Tests.ttcn:3319 Message with id 6 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133823 mtc BSC_Tests.ttcn:3319 Message with id 7 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133844 mtc BSC_Tests.ttcn:3319 Message with id 8 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133864 mtc BSC_Tests.ttcn:3319 Message with id 9 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133884 mtc BSC_Tests.ttcn:3319 Message with id 10 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133904 mtc BSC_Tests.ttcn:3319 Message with id 11 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133924 mtc BSC_Tests.ttcn:3319 Message with id 12 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133944 mtc BSC_Tests.ttcn:3319 Message with id 13 was extracted from the queue of RSL_CCHAN[1]. 05:48:59.133964 mtc BSC_Tests.ttcn:3319 Port RSL_CCHAN[1] was stopped. 05:48:59.133984 mtc BSC_Tests.ttcn:3319 Port RSL_CCHAN[2] was stopped. 05:48:59.134003 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[0][0] was stopped. 05:48:59.134023 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[0][1] was stopped. 05:48:59.134042 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[0][2] was stopped. 05:48:59.134061 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[0][3] was stopped. 05:48:59.134081 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[1][0] was stopped. 05:48:59.134100 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[1][1] was stopped. 05:48:59.134119 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[1][2] was stopped. 05:48:59.134138 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[1][3] was stopped. 05:48:59.134157 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[2][0] was stopped. 05:48:59.134177 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[2][1] was stopped. 05:48:59.134196 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[2][2] was stopped. 05:48:59.134215 mtc BSC_Tests.ttcn:3319 Port IPA_RSL[2][3] was stopped. 05:48:59.134235 mtc BSC_Tests.ttcn:3319 Port IPA was stopped. 05:48:59.134254 mtc BSC_Tests.ttcn:3319 Port SCCPLITE_IPA_CTRL was stopped. 05:48:59.134274 mtc BSC_Tests.ttcn:3319 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(34):CFG_PORT. 05:48:59.134327 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[0][0] was stopped. 05:48:59.134343 34 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 05:48:59.134356 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[0][1] was stopped. 05:48:59.134382 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[0][2] was stopped. 05:48:59.134394 34 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 05:48:59.134408 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[0][3] was stopped. 05:48:59.134435 mtc BSC_Tests.ttcn:3319 Removing unterminated connection between port IPA_CFG_PORT[1][0] and IPA-BTS1-TRX0-RSL-IPA(36):CFG_PORT. 05:48:59.134495 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[1][0] was stopped. 05:48:59.134506 36 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[1][0] was closed unexpectedly by the peer. 05:48:59.134517 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[1][1] was stopped. 05:48:59.134537 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[1][2] was stopped. 05:48:59.134547 36 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[1][0]. 05:48:59.134556 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[1][3] was stopped. 05:48:59.134575 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[2][0] was stopped. 05:48:59.134594 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[2][1] was stopped. 05:48:59.134614 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[2][2] was stopped. 05:48:59.134633 mtc BSC_Tests.ttcn:3319 Port IPA_CFG_PORT[2][3] was stopped. 05:48:59.134662 mtc BSC_Tests.ttcn:3319 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 05:48:59.134730 mtc BSC_Tests.ttcn:3319 Port BSCVTY was unmapped from system:BSCVTY. 05:48:59.134762 mtc BSC_Tests.ttcn:3319 Port BSCVTY was stopped. 05:48:59.134782 mtc BSC_Tests.ttcn:3319 Port BSSAP was stopped. 05:48:59.134802 mtc BSC_Tests.ttcn:3319 Port BSSAP_LE was stopped. 05:48:59.134826 mtc BSC_Tests.ttcn:3319 Component type BSC_Tests.test_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.134851 mtc BSC_Tests.ttcn:3319 Waiting for PTCs to finish. 05:48:59.134917 34 - Kill was requested from MC. Terminating idle PTC. 05:48:59.134918 33 - Kill was requested from MC. Terminating idle PTC. 05:48:59.134923 35 - Kill was requested from MC. Terminating idle PTC. 05:48:59.134943 37 - Kill was requested from MC. Terminating idle PTC. 05:48:59.134949 36 - Kill was requested from MC. Terminating idle PTC. 05:48:59.134952 35 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 05:48:59.134953 34 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 05:48:59.134960 33 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 05:48:59.134965 35 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(34):IPA_RSL_PORT. 05:48:59.134976 34 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 05:48:59.134984 37 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 05:48:59.134987 33 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 05:48:59.134992 35 - Port IPA_PT was stopped. 05:48:59.134992 36 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 05:48:59.135005 35 - Port CLIENT_PT was stopped. 05:48:59.135011 37 - Removing unterminated connection between port IPA_PT and IPA-BTS1-TRX0-RSL-IPA(36):IPA_RSL_PORT. 05:48:59.135015 35 - Port RSL_PROC was stopped. 05:48:59.135019 36 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 05:48:59.135025 35 - Port CCHAN_PT was stopped. 05:48:59.135035 35 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.135050 35 - Final verdict of PTC: none 05:48:59.135061 37 - Port IPA_PT was stopped. 05:48:59.135090 37 - Port CLIENT_PT was stopped. 05:48:59.135106 35 - Disconnected from MC. 05:48:59.135112 37 - Port RSL_PROC was stopped. 05:48:59.135117 35 - TTCN-3 Parallel Test Component finished. 05:48:59.135134 37 - Port CCHAN_PT was stopped. 05:48:59.135155 37 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.135187 37 - Final verdict of PTC: none 05:48:59.135280 37 - Disconnected from MC. 05:48:59.135307 37 - TTCN-3 Parallel Test Component finished. 05:48:59.135504 34 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 05:48:59.135558 34 - Port IPA_PORT was stopped. 05:48:59.135582 36 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 05:48:59.135586 34 - Port CFG_PORT was stopped. 05:48:59.135611 34 - Port MTP3_SP_PORT was stopped. 05:48:59.135628 33 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 05:48:59.135632 36 - Port IPA_PORT was stopped. 05:48:59.135636 34 - Port IPA_MGCP_PORT was stopped. 05:48:59.135657 36 - Port CFG_PORT was stopped. 05:48:59.135662 34 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(35):IPA_PT. 05:48:59.135678 36 - Port MTP3_SP_PORT was stopped. 05:48:59.135687 33 - Port MGCP was stopped. 05:48:59.135699 36 - Port IPA_MGCP_PORT was stopped. 05:48:59.135714 33 - Port MGCP_CLIENT was stopped. 05:48:59.135719 36 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS1-TRX0-RSL-RSL(37):IPA_PT. 05:48:59.135737 34 - Port IPA_RSL_PORT was stopped. 05:48:59.135738 33 - Port MGCP_CLIENT_MULTI was stopped. 05:48:59.135762 33 - Port MGCP_PROC was stopped. 05:48:59.135768 34 - Port IPA_OML_PORT was stopped. 05:48:59.135782 33 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.135786 36 - Port IPA_RSL_PORT was stopped. 05:48:59.135793 34 - Port IPA_CTRL_PORT was stopped. 05:48:59.135811 33 - Final verdict of PTC: none 05:48:59.135811 36 - Port IPA_OML_PORT was stopped. 05:48:59.135819 34 - Port IPA_SP_PORT was stopped. 05:48:59.135832 36 - Port IPA_CTRL_PORT was stopped. 05:48:59.135845 34 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.135853 36 - Port IPA_SP_PORT was stopped. 05:48:59.135874 36 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_stat_num_bts_connected_2. 05:48:59.135881 34 - Final verdict of PTC: none 05:48:59.135902 36 - Final verdict of PTC: none 05:48:59.135946 33 - Disconnected from MC. 05:48:59.135976 33 - TTCN-3 Parallel Test Component finished. 05:48:59.136049 36 - Disconnected from MC. 05:48:59.136103 34 - Disconnected from MC. 05:48:59.136118 36 - TTCN-3 Parallel Test Component finished. 05:48:59.136140 34 - TTCN-3 Parallel Test Component finished. 05:48:59.136214 mtc BSC_Tests.ttcn:3319 Setting final verdict of the test case. 05:48:59.136286 mtc BSC_Tests.ttcn:3319 Local verdict of MTC: pass 05:48:59.136326 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMSC-STATS(27): none (pass -> pass) 05:48:59.136363 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMSC-SCCP(28): none (pass -> pass) 05:48:59.136436 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMSC-RAN(29): none (pass -> pass) 05:48:59.136479 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMSC-IPA(30): none (pass -> pass) 05:48:59.136515 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMSC-IPA-WAIT(31): pass (pass -> pass) 05:48:59.136549 mtc BSC_Tests.ttcn:3319 Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (pass -> pass) 05:48:59.136591 mtc BSC_Tests.ttcn:3319 Local verdict of PTC VirtMGW-MGCP-0(33): none (pass -> pass) 05:48:59.136626 mtc BSC_Tests.ttcn:3319 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(34): none (pass -> pass) 05:48:59.136667 mtc BSC_Tests.ttcn:3319 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(35): none (pass -> pass) 05:48:59.136703 mtc BSC_Tests.ttcn:3319 Local verdict of PTC IPA-BTS1-TRX0-RSL-IPA(36): none (pass -> pass) 05:48:59.136736 mtc BSC_Tests.ttcn:3319 Local verdict of PTC IPA-BTS1-TRX0-RSL-RSL(37): none (pass -> pass) 05:48:59.136767 mtc BSC_Tests.ttcn:3319 Local verdict of PTC TC_stat_num_bts_connected_2(38): none (pass -> pass) 05:48:59.136805 mtc BSC_Tests.ttcn:3319 Test case TC_stat_num_bts_connected_2 finished. Verdict: pass 05:48:59.136844 mtc BSC_Tests.ttcn:3319 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_stat_num_bts_connected_2 pass'. 05:49:04.178609 mtc BSC_Tests.ttcn:3319 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_stat_num_bts_connected_2 pass' was executed successfully (exit status: 0). 05:49:04.178681 mtc BSC_Tests.ttcn:3326 Switching to log file `BSC_Tests-TC_stat_num_bts_connected_3-440259d8eaa7-mtc.log'