10:45:04.710403 mtc BSC_Tests.ttcn:10947 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc'. 10:45:05.721932 mtc BSC_Tests.ttcn:10947 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc' was executed successfully (exit status: 0). 10:45:05.722182 mtc BSC_Tests.ttcn:10947 Test case TC_no_msc started. 10:45:05.722270 mtc BSC_Tests.ttcn:10947 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_no_msc. 10:45:05.722588 mtc BSC_Tests.ttcn:10947 Port IPA_CTRL was started. 10:45:05.722740 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[0] was started. 10:45:05.722767 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[1] was started. 10:45:05.722786 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[2] was started. 10:45:05.722805 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][0] was started. 10:45:05.722825 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][1] was started. 10:45:05.722845 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][2] was started. 10:45:05.722864 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][3] was started. 10:45:05.722882 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][0] was started. 10:45:05.722900 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][1] was started. 10:45:05.722918 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][2] was started. 10:45:05.722937 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][3] was started. 10:45:05.722955 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][0] was started. 10:45:05.722973 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][1] was started. 10:45:05.722991 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][2] was started. 10:45:05.723009 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][3] was started. 10:45:05.723028 mtc BSC_Tests.ttcn:10947 Port IPA was started. 10:45:05.723046 mtc BSC_Tests.ttcn:10947 Port SCCPLITE_IPA_CTRL was started. 10:45:05.723067 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][0] was started. 10:45:05.723085 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][1] was started. 10:45:05.723103 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][2] was started. 10:45:05.723121 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][3] was started. 10:45:05.723139 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][0] was started. 10:45:05.723157 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][1] was started. 10:45:05.723175 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][2] was started. 10:45:05.723193 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][3] was started. 10:45:05.723211 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][0] was started. 10:45:05.723229 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][1] was started. 10:45:05.723247 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][2] was started. 10:45:05.723265 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][3] was started. 10:45:05.723283 mtc BSC_Tests.ttcn:10947 Port BSCVTY was started. 10:45:05.723302 mtc BSC_Tests.ttcn:10947 Port BSSAP was started. 10:45:05.723319 mtc BSC_Tests.ttcn:10947 Port BSSAP_LE was started. 10:45:05.723339 mtc BSC_Tests.ttcn:10947 Component type BSC_Tests.test_CT was initialized. 10:45:05.723376 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 10:45:05.723412 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 10:45:05.723445 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 10:45:05.742441 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:05.742574 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 10:45:05.742894 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 10:45:05.743037 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.743085 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.743113 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.743220 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:05.743341 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.743386 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.743439 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.743462 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.743483 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.743505 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.743532 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:05.743564 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:05.743595 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:05.743747 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:05.743856 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.743934 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.743963 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.743990 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:05.744020 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.744057 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.744113 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.744597 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 10:45:05.744795 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.744884 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.744979 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.745017 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 10:45:05.745053 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 10:45:05.745087 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.745129 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 10:45:05.745231 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.745641 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.745848 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.745938 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.746031 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.746068 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.746104 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 10:45:05.746138 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.746181 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 10:45:05.746282 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.746688 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.746896 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.746984 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.747077 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.747112 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.747147 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:05.747180 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.747222 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 10:45:05.747325 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.747556 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.747591 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.747606 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.747621 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.747627 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.747633 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:05.747638 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.747645 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:05.747662 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.747791 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 10:45:05.747827 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.747843 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.747848 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 10:45:05.747854 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 10:45:05.747860 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.747867 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.747885 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.748017 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:05.748052 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.748067 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.748083 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.748089 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:05.748095 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:05.748100 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.748111 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:05.748128 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.748204 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.748216 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.748227 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.748243 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.748248 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.748253 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 10:45:05.748258 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.748263 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:05.748271 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.748347 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.748358 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.748368 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.748383 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.748388 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.748392 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 10:45:05.748397 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.748401 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.748408 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.748479 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 10:45:05.748489 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.748498 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.748512 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.748518 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 10:45:05.748522 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 10:45:05.748547 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.748570 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.748608 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.748858 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:05.748917 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.748975 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.749002 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:05.749039 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:05.749067 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.749097 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.761977 1822 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.762214 1822 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: VirtMSC-STATS(1822), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 10:45:05.762273 1822 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.762410 1822 - Connected to MC. 10:45:05.762448 1822 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_no_msc. 10:45:05.762740 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 1822, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.762867 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.176.203", 8125) on component VirtMSC-STATS(1822). 10:45:05.763200 mtc StatsD_Checker.ttcn:252 Function was started. 10:45:05.763333 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 10:45:05.763449 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.764045 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 10:45:05.764253 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.764347 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.764379 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 10:45:05.764413 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 10:45:05.764445 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.764490 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 10:45:05.764587 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.764701 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 1" id 14 10:45:05.764730 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 10:45:05.764783 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.764835 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.764892 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.764928 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.764956 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 1" with ? matched 10:45:05.764982 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 1" id 14 10:45:05.765008 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 14 was extracted from the queue of BSCVTY. 10:45:05.765061 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.765119 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.765146 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 10:45:05.765174 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 10:45:05.765201 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.765229 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 10:45:05.765276 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.765657 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 2" id 16 10:45:05.765777 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 10:45:05.765906 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.765979 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.766037 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.766072 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.766100 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 2" with ? matched 10:45:05.766128 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 2" id 16 10:45:05.766158 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 10:45:05.766221 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.766285 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.766353 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 10:45:05.766384 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 10:45:05.766413 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.766561 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.767167 1822 - Port STATSVTY was started. 10:45:05.767178 1822 - Port STATSD_PROC was started. 10:45:05.767183 1822 - Port STATS was started. 10:45:05.767186 1822 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 10:45:05.767230 1822 - Starting function main("172.18.176.203", 8125). 10:45:05.767341 1822 StatsD_Checker.ttcn:103 Mapping port VirtMSC-STATS(1822):STATS to system:STATS. 10:45:05.767523 1822 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 10:45:05.767679 1822 StatsD_Checker.ttcn:103 Map operation of VirtMSC-STATS(1822):STATS to system:STATS finished. 10:45:05.767805 1822 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.176.203:8125 / UDP 10:45:05.769265 1822 StatsD_Checker.ttcn:111 Mapping port VirtMSC-STATS(1822):STATSVTY to system:STATSVTY. 10:45:05.770562 1822 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 10:45:05.770736 1822 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 10:45:05.770970 1822 StatsD_Checker.ttcn:111 Map operation of VirtMSC-STATS(1822):STATSVTY to system:STATSVTY finished. 10:45:05.771283 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.771410 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.771445 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.771665 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 10:45:05.771795 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.771869 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.771882 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.771891 1822 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.771900 1822 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.771925 1822 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.771972 1823 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.772004 1822 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 10:45:05.772041 1822 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 10:45:05.772066 1822 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 10:45:05.772134 1823 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_no_msc. 10:45:05.772194 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 10:45:05.772308 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.772352 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.772365 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.772369 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 1823, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.772388 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 10:45:05.772420 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.772435 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.772449 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:45:05.772480 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.772732 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 10:45:05.772833 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.772876 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.772892 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 10:45:05.772907 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 10:45:05.772921 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.774426 1823 - Component type SCCP_Emulation.SCCP_CT was initialized. 10:45:05.777457 1824 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.777525 1824 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: VirtMSC-RAN(1824), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 10:45:05.777542 1824 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.777574 1824 - Connected to MC. 10:45:05.777584 1824 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_no_msc. 10:45:05.777790 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 1824, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.777831 mtc RAN_Adapter.ttcnpp:117 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.779055 1824 - Port BSSAP was started. 10:45:05.779075 1824 - Port CLIENT was started. 10:45:05.779081 1824 - Port MGCP was started. 10:45:05.779085 1824 - Port CTRL was started. 10:45:05.779090 1824 - Port CTRL_CLIENT was started. 10:45:05.779094 1824 - Port PROC was started. 10:45:05.779099 1824 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 10:45:05.781230 1825 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.781284 1825 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: VirtMSC-IPA(1825), component type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. Version: 9.0.0. 10:45:05.781299 1825 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.781320 1825 - Connected to MC. 10:45:05.781327 1825 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:05.781479 mtc RAN_Adapter.ttcnpp:117 PTC was created. Component reference: 1825, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.781511 mtc RAN_Adapter.ttcnpp:118 Mapping port VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT. 10:45:05.782243 1825 - Port IPA_PORT was started. 10:45:05.782254 1825 - Port CFG_PORT was started. 10:45:05.782258 1825 - Port MTP3_SP_PORT was started. 10:45:05.782262 1825 - Port IPA_MGCP_PORT was started. 10:45:05.782266 1825 - Port IPA_RSL_PORT was started. 10:45:05.782269 1825 - Port IPA_OML_PORT was started. 10:45:05.782273 1825 - Port IPA_CTRL_PORT was started. 10:45:05.782276 1825 - Port IPA_SP_PORT was started. 10:45:05.782280 1825 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:05.782309 1825 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:05.782492 mtc RAN_Adapter.ttcnpp:118 Map operation of VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:05.782522 mtc RAN_Adapter.ttcnpp:120 Connecting ports VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:05.782950 1825 - Port MTP3_SP_PORT has established the connection with VirtMSC-SCCP(1823):MTP3_SCCP_PORT using transport type UNIX. 10:45:05.783206 mtc RAN_Adapter.ttcnpp:120 Connect operation on VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT finished. 10:45:05.783239 mtc RAN_Adapter.ttcnpp:122 Creating new PTC with component type IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.786440 1826 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.786489 1826 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: VirtMSC-IPA-WAIT(1826), component type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. Version: 9.0.0. 10:45:05.786500 1826 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.786521 1826 - Connected to MC. 10:45:05.786528 1826 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_EventWaiter_CT inside testcase TC_no_msc. 10:45:05.786674 mtc RAN_Adapter.ttcnpp:122 PTC was created. Component reference: 1826, alive: no, type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.786701 mtc RAN_Adapter.ttcnpp:123 Connecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.786889 1825 - Port IPA_SP_PORT is waiting for connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-d36db52e. 10:45:05.787375 1826 - Port IPA_SP_PORT was started. 10:45:05.787385 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was initialized. 10:45:05.787404 1826 - Port IPA_SP_PORT has established the connection with VirtMSC-IPA(1825):IPA_SP_PORT using transport type UNIX. 10:45:05.787486 1825 - Port IPA_SP_PORT has accepted the connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.787539 mtc RAN_Adapter.ttcnpp:123 Connect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:05.787565 mtc RAN_Adapter.ttcnpp:124 Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }) on component VirtMSC-IPA-WAIT(1826). 10:45:05.787637 mtc RAN_Adapter.ttcnpp:124 Function was started. 10:45:05.787662 mtc RAN_Adapter.ttcnpp:125 Starting function main_server("172.18.176.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true) on component VirtMSC-IPA(1825). 10:45:05.787694 mtc RAN_Adapter.ttcnpp:125 Function was started. 10:45:05.787696 1826 - Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }). 10:45:05.787784 1825 - Starting function main_server("172.18.176.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true). 10:45:05.787980 1825 IPA_Emulation.ttcnpp:325 entering f__IPL4__PROVIDER__listen: 172.18.176.203:5000 / TCP 10:45:09.574810 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.176.20", remPort := 46321, locName := "172.18.176.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.575339 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.575388 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.575538 1825 IPA_Emulation.ttcnpp:822 Matching on port IPA_PORT succeeded: matched 10:45:09.575628 1825 IPA_Emulation.ttcnpp:822 Receive operation on port IPA_PORT succeeded, message from system(): @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.176.20", remPort := 46321, locName := "172.18.176.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.575681 1825 IPA_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.575715 1825 IPA_Emulation.ttcnpp:824 Established a new IPA connection (conn_id=2) 10:45:09.576700 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } 10:45:09.577261 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.577650 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:09.577687 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.578017 1825 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.578256 1825 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:09.578452 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 10:45:09.578485 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.578572 1826 IPA_Emulation.ttcnpp:956 Matching on port IPA_SP_PORT succeeded. 10:45:09.578630 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:09.578635 1826 IPA_Emulation.ttcnpp:956 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event: { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.578707 1826 IPA_Emulation.ttcnpp:956 Message with id 1 was extracted from the queue of IPA_SP_PORT. 10:45:09.578827 1825 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:09.579986 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.176.20", remPort := 46321, locName := "172.18.176.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:09.580165 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:09.580551 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.580619 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.580789 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:09.580825 1825 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.580859 1825 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:09.580892 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:09.580930 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.580954 1825 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.581038 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } 10:45:09.581215 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.581418 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT succeeded: matched 10:45:09.581460 1826 IPA_Emulation.ttcnpp:953 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.581491 1826 IPA_Emulation.ttcnpp:953 Message with id 2 was extracted from the queue of IPA_SP_PORT. 10:45:09.581549 1826 IPA_Emulation.ttcnpp:954 setverdict(pass): none -> pass 10:45:09.581655 1826 - Function waiter_main finished. PTC terminates. 10:45:09.581686 1826 - Terminating component type IPA_Emulation.IPA_EventWaiter_CT. 10:45:09.581708 1826 - Removing unterminated connection between port IPA_SP_PORT and VirtMSC-IPA(1825):IPA_SP_PORT. 10:45:09.581770 1826 - Port IPA_SP_PORT was stopped. 10:45:09.581792 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was shut down inside testcase TC_no_msc. 10:45:09.581822 1826 - Final verdict of PTC: pass 10:45:09.581886 1825 IPA_Emulation.ttcnpp:735 Connection of port IPA_SP_PORT to VirtMSC-IPA-WAIT(1826):IPA_SP_PORT was closed unexpectedly by the peer. 10:45:09.581964 1826 - Disconnected from MC. 10:45:09.582000 1825 IPA_Emulation.ttcnpp:735 Port IPA_SP_PORT was disconnected from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.582006 1826 - TTCN-3 Parallel Test Component finished. 10:45:09.582014 mtc RAN_Adapter.ttcnpp:129 PTC with component reference 1826 is done. 10:45:09.582214 mtc RAN_Adapter.ttcnpp:130 Disconnecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.582515 mtc RAN_Adapter.ttcnpp:130 Disconnect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:09.582671 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 10:45:09.582737 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 10:45:09.582807 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:09.583594 1824 - Port BSSAP has established the connection with VirtMSC-SCCP(1823):SCCP_SP_PORT using transport type UNIX. 10:45:09.584023 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT finished. 10:45:09.584137 mtc RAN_Adapter.ttcnpp:178 Connecting MGCP RAN Emulation to IPA MGCP PORT 10:45:09.584210 mtc RAN_Adapter.ttcnpp:179 Connecting ports VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:09.584717 1824 - Port MGCP is waiting for connection from VirtMSC-IPA(1825):IPA_MGCP_PORT on UNIX pathname /tmp/ttcn3-portconn-d471a765. 10:45:09.585021 1825 IPA_Emulation.ttcnpp:735 Port IPA_MGCP_PORT has established the connection with VirtMSC-RAN(1824):MGCP using transport type UNIX. 10:45:09.585186 1824 - Port MGCP has accepted the connection from VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:09.585376 mtc RAN_Adapter.ttcnpp:179 Connect operation on VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP finished. 10:45:09.585484 mtc RAN_Adapter.ttcnpp:184 Connecting CTRL RAN Emulation to IPA CTRL PORT 10:45:09.585555 mtc RAN_Adapter.ttcnpp:185 Connecting ports VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:09.586036 1824 - Port CTRL is waiting for connection from VirtMSC-IPA(1825):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-c673a577. 10:45:09.586377 1825 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT has established the connection with VirtMSC-RAN(1824):CTRL using transport type UNIX. 10:45:09.586629 1824 - Port CTRL has accepted the connection from VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:09.586746 mtc RAN_Adapter.ttcnpp:185 Connect operation on VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL finished. 10:45:09.586854 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 10:45:09.586979 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(1824). 10:45:09.587378 mtc RAN_Adapter.ttcnpp:190 Function was started. 10:45:09.587502 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.587900 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(1824):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-c018e002. 10:45:09.588606 1824 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 10:45:09.590924 1824 Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:09.591172 1824 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 10:45:09.591371 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.591497 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT finished. 10:45:09.591567 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(1823). 10:45:09.591732 mtc RAN_Adapter.ttcnpp:197 Function was started. 10:45:09.591809 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:09.591950 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.592232 1823 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 10:45:09.597372 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.597757 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 19 10:45:09.600245 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.602162 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.603136 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:09.604029 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:09.604658 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:09.605224 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.605271 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 18 was extracted from the queue of BSCVTY. 10:45:09.605295 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605310 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.605315 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 19 10:45:09.605320 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 19 was extracted from the queue of BSCVTY. 10:45:09.605326 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605337 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:09.605361 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.605478 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 20 10:45:09.605515 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605530 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.605547 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.605553 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 20 10:45:09.605564 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 10:45:09.605569 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605576 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:09.605595 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.605620 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.605632 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605643 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.605656 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.605662 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.605667 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 10:45:09.605672 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605677 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 10:45:09.605685 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.605714 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.605729 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605739 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.605751 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.605756 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.605761 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 22 was extracted from the queue of BSCVTY. 10:45:09.605766 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605771 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.605780 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.605792 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 10:45:09.605802 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605811 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.605823 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.605828 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 10:45:09.605832 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 10:45:09.605837 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605841 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.605848 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.605859 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 24 10:45:09.605869 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.605879 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.605886 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 24 10:45:09.605891 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 24 was extracted from the queue of BSCVTY. 10:45:09.605896 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.605902 mtc BSC_Tests.ttcn:1193 msc 1 is not configured, skipping 10:45:09.605912 mtc BSC_Tests.ttcn:1193 msc 2 is not configured, skipping 10:45:09.605918 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.609320 1827 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.609372 1827 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: IPA-CTRL-CLI-IPA(1827), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 10:45:09.609384 1827 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.609409 1827 - Connected to MC. 10:45:09.609415 1827 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:09.609483 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 1827, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.609507 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT. 10:45:09.610474 1827 - Port IPA_PORT was started. 10:45:09.610485 1827 - Port CFG_PORT was started. 10:45:09.610489 1827 - Port MTP3_SP_PORT was started. 10:45:09.610492 1827 - Port IPA_MGCP_PORT was started. 10:45:09.610495 1827 - Port IPA_RSL_PORT was started. 10:45:09.610497 1827 - Port IPA_OML_PORT was started. 10:45:09.610500 1827 - Port IPA_CTRL_PORT was started. 10:45:09.610503 1827 - Port IPA_SP_PORT was started. 10:45:09.610506 1827 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:09.610524 1827 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:09.610582 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:09.610603 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:09.610650 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-8e15f21f. 10:45:09.610711 1827 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 10:45:09.610780 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:09.610800 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 10:45:09.610807 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.176.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(1827). 10:45:09.610828 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 10:45:09.610834 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 10:45:09.610967 1827 - Starting function main_client("172.18.176.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 10:45:09.611126 1827 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.176.20:4249 / TCP 10:45:09.611973 1827 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:09.612076 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.612100 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 10:45:09.612107 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.612113 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 10:45:09.612123 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.614828 1828 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.614876 1828 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: VirtMGW-MGCP-0(1828), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 10:45:09.614886 1828 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.614907 1828 - Connected to MC. 10:45:09.614915 1828 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_no_msc. 10:45:09.614964 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 1828, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.614989 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.176.20", callagent_udp_port := -1, mgw_ip := "172.18.176.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(1828). 10:45:09.615033 mtc BSC_Tests.ttcn:1104 Function was started. 10:45:09.615041 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:09.615049 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.771463. 10:45:09.615066 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771463382", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.615075 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:09.615200 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771463382", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.615275 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:09.615293 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "771463382", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.615305 1827 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 10:45:09.615319 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "771463382", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.615383 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 771463382 bts.0.oml-connection-state" 10:45:09.615690 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 771463382 bts.0.oml-connection-state") } 10:45:09.615761 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 771463382 bts.0.oml-connection-state") } 10:45:09.615765 1828 - Port MGCP was started. 10:45:09.615775 1828 - Port MGCP_CLIENT was started. 10:45:09.615778 1828 - Port MGCP_CLIENT_MULTI was started. 10:45:09.615781 1828 - Port MGCP_PROC was started. 10:45:09.615784 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 10:45:09.615832 1828 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.176.20", callagent_udp_port := -1, mgw_ip := "172.18.176.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0"). 10:45:09.615861 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:09.615904 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:09.616005 1828 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT. 10:45:09.616035 1828 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 10:45:09.616054 1828 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT finished. 10:45:09.616129 1828 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.176.203:2427 / UDP 10:45:09.616132 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 10:45:09.616157 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:09.616241 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771463382 bts.0.oml-connection-state degraded") } 10:45:09.616263 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771463382 bts.0.oml-connection-state degraded") } id 1 10:45:09.616300 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:09.616311 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373731343633333832206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 771463382 bts.0.oml-connection-state degraded") } id 1 10:45:09.616318 1827 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.616334 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 771463382 bts.0.oml-connection-state degraded" 10:45:09.616374 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771463382 bts.0.oml-connection-state degraded 10:45:09.616381 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:09.616385 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:09.616424 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771463382 bts.0.oml-connection-state degraded 10:45:09.616429 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:09.616435 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.616438 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:09.616442 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 771463382 bts.0.oml-connection-state degraded 10:45:09.616445 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.616449 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:09.616473 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 771463382 bts.0.oml-connection-state degraded 10:45:09.616477 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:09.616482 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.616486 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:09.616489 1827 IPA_Emulation.ttcnpp:627 match_begin data: 771463382 bts.0.oml-connection-state degraded 10:45:09.616493 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.616496 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.616502 1827 IPA_Emulation.ttcnpp:627 match_first data: 771463382 bts.0.oml-connection-state degraded 10:45:09.616505 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.616509 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:09.616512 1827 IPA_Emulation.ttcnpp:627 match_list data: 771463382 bts.0.oml-connection-state degraded 10:45:09.616515 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:09.616518 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:09.616522 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.616525 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.616528 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:09.616534 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.616537 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:09.616540 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:09.616543 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:09.616547 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:09.616550 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.616553 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.616557 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:09.616560 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.616563 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:09.616566 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:09.616570 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:09.616584 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "771463382", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.616606 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771463382", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.616676 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771463382", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.616705 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:09.616713 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "771463382", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.616719 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 10:45:09.616724 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:09.616730 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:10.591314 1824 Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:10.595426 1824 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(1823) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 10:45:10.595722 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.596606 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 10:45:10.596694 1824 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 10:45:10.596849 1824 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 10:45:10.600067 1825 IPA_Emulation.ttcnpp:735 Message enqueued on MTP3_SP_PORT from VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.600559 1825 IPA_Emulation.ttcnpp:857 Matching on port MTP3_SP_PORT succeeded: matched 10:45:10.600638 1825 IPA_Emulation.ttcnpp:857 Receive operation on port MTP3_SP_PORT succeeded, message from VirtMSC-SCCP(1823): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.600703 1825 IPA_Emulation.ttcnpp:857 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 10:45:10.600784 1825 IPA_Emulation.ttcnpp:860 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.600826 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.600877 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0016FD090003070B0443BB00FE0443B900FE06000430040100'O 10:45:10.600920 1825 IPA_Emulation.ttcnpp:860 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0016FD090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.602164 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.176.20", remPort := 46321, locName := "172.18.176.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0013FD090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.602261 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 10:45:10.602292 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0013FD090003070B0443B900FE0443BB00FE03000131'O 10:45:10.602416 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 19, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.602422 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.602467 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.602493 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 10:45:10.602578 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.602622 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 10:45:10.602649 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.602689 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 10:45:10.602698 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_SCCP (253) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.602747 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.602756 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.602787 1825 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.602793 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.602817 1825 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.602834 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.602887 1827 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.602918 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 10:45:10.602954 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.602975 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.602997 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.603024 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.603043 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.603071 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.603091 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.603114 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.603133 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.603158 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.603178 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.603231 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.603251 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.603273 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.603293 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.603380 1825 IPA_Emulation.ttcnpp:759 Sent on MTP3_SP_PORT to VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 0, dpc := 0, sls := 0, data := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.603402 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.603425 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.603450 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.603469 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.603491 1827 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 10:45:10.603510 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.603530 1827 IPA_Emulation.ttcnpp:627 match_first result: 23 10:45:10.603549 1827 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 10:45:10.603568 1827 IPA_Emulation.ttcnpp:627 match_list result: 23 10:45:10.603588 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.603607 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.603627 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.603646 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.603666 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.603686 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.603705 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.603724 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.603745 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.603792 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.603858 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.603892 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.603904 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.603918 1827 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.603941 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 10:45:10.603968 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.603986 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.604006 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.604026 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.604045 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.604077 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.604097 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.604116 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.604135 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.604160 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.604179 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.604198 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.604217 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.604238 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.604257 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.604279 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.604298 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.604319 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.604338 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.604357 1827 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 10:45:10.604375 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.604395 1827 IPA_Emulation.ttcnpp:627 match_first result: 21 10:45:10.604413 1827 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 10:45:10.604432 1827 IPA_Emulation.ttcnpp:627 match_list result: 21 10:45:10.604451 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.604469 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.604488 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.604507 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.604524 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.604544 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.604562 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.604581 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.604599 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.604629 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.604679 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.606025 1824 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(1823) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 10:45:10.606257 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 10:45:10.612229 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.612405 1824 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.612981 1824 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 10:45:10.613082 1824 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1823): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.613133 1824 RAN_Emulation.ttcnpp:540 Message with id 1 was extracted from the queue of BSSAP. 10:45:10.613200 1824 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 10:45:10.616786 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:10.616843 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.624363 1829 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.624423 1829 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: IPA-BTS0-TRX0-RSL-IPA(1829), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 10:45:10.624435 1829 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.624461 1829 - Connected to MC. 10:45:10.624467 1829 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:10.624639 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 1829, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.624690 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 10:45:10.624734 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 10:45:10.624759 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.628148 1829 - Port IPA_PORT was started. 10:45:10.628194 1829 - Port CFG_PORT was started. 10:45:10.628208 1829 - Port MTP3_SP_PORT was started. 10:45:10.628221 1829 - Port IPA_MGCP_PORT was started. 10:45:10.628234 1829 - Port IPA_RSL_PORT was started. 10:45:10.628246 1829 - Port IPA_OML_PORT was started. 10:45:10.628258 1829 - Port IPA_CTRL_PORT was started. 10:45:10.628270 1829 - Port IPA_SP_PORT was started. 10:45:10.628283 1829 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:10.633826 1830 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.633944 1830 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: IPA-BTS0-TRX0-RSL-RSL(1830), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 10:45:10.633970 1830 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.634023 1830 - Connected to MC. 10:45:10.634038 1830 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_no_msc. 10:45:10.634208 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 1830, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.634271 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0]. 10:45:10.634613 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d77af91e. 10:45:10.636494 1830 - Port IPA_PT was started. 10:45:10.636528 1830 - Port CLIENT_PT was started. 10:45:10.636539 1830 - Port RSL_PROC was started. 10:45:10.636549 1830 - Port CCHAN_PT was started. 10:45:10.636559 1830 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 10:45:10.636612 1830 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 10:45:10.636767 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:10.636870 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 10:45:10.636900 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT. 10:45:10.637175 1829 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:10.637454 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:10.637536 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 10:45:10.637902 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-86528b6e. 10:45:10.638151 1829 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 10:45:10.638201 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:10.638234 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 10:45:10.638259 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.638579 1829 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-c42ea064. 10:45:10.638814 1830 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT using transport type UNIX. 10:45:10.639000 1829 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.639180 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT finished. 10:45:10.639280 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.176.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(1829). 10:45:10.639563 mtc BSC_Tests.ttcn:999 Function was started. 10:45:10.639659 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(1830). 10:45:10.639740 mtc BSC_Tests.ttcn:1001 Function was started. 10:45:10.639783 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:10.639816 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.008392. 10:45:10.639875 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "8391647", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.639917 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.639926 1829 - Starting function main_client("172.18.176.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 10:45:10.639964 1830 - Starting function main(true). 10:45:10.639972 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.640008 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.640025 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "8391647", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.640034 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.640061 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 10:45:10.640097 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.640122 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.640144 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.640163 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.640164 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 10:45:10.640199 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "8391647", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.640224 1827 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.640243 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "8391647", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.640275 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 8391647 bts.0.oml-connection-state" 10:45:10.640336 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 8391647 bts.0.oml-connection-state") } 10:45:10.640366 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 8391647 bts.0.oml-connection-state") } 10:45:10.640442 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0027EE004745542038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.640498 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0027EE004745542038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.640556 1829 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.176.20:3003 / TCP 10:45:10.640913 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '0036EE004745545F5245504C592038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 10:45:10.641009 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0036EE004745545F5245504C592038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:10.641064 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 54, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 8391647 bts.0.oml-connection-state degraded") } 10:45:10.641126 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 8391647 bts.0.oml-connection-state degraded") } id 4 10:45:10.641158 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.641191 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592038333931363437206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 8391647 bts.0.oml-connection-state degraded") } id 4 10:45:10.641216 1827 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.641239 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 8391647 bts.0.oml-connection-state degraded" 10:45:10.641268 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 8391647 bts.0.oml-connection-state degraded 10:45:10.641285 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.641302 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.641335 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 8391647 bts.0.oml-connection-state degraded 10:45:10.641351 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.641373 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.641389 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.641405 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 8391647 bts.0.oml-connection-state degraded 10:45:10.641421 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.641437 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.641464 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 8391647 bts.0.oml-connection-state degraded 10:45:10.641479 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.641500 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.641515 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.641531 1827 IPA_Emulation.ttcnpp:627 match_begin data: 8391647 bts.0.oml-connection-state degraded 10:45:10.641546 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.641562 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.641577 1827 IPA_Emulation.ttcnpp:627 match_first data: 8391647 bts.0.oml-connection-state degraded 10:45:10.641592 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.641608 1827 IPA_Emulation.ttcnpp:627 match_first result: 7 10:45:10.641623 1827 IPA_Emulation.ttcnpp:627 match_list data: 8391647 bts.0.oml-connection-state degraded 10:45:10.641656 1827 IPA_Emulation.ttcnpp:627 match_list result: 7 10:45:10.641671 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:10.641686 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.641702 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.641717 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:10.641731 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.641747 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.641762 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:10.641777 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.641792 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:10.641807 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.641823 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.641838 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:10.641852 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.641868 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.641882 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:10.641897 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.641913 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.641947 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.642102 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.642221 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.642258 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "8391647", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.642286 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 10:45:10.642356 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 10:45:10.643732 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.644160 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:10.644859 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.645244 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:10.645557 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.645722 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:10.645951 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:10.647355 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:10.648302 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.648813 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 10:45:10.648896 1830 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.648954 1830 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 10:45:10.649887 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 10:45:10.649993 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 10:45:10.650366 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 10:45:10.650433 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.650513 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:10.650540 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:10.650563 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.650584 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.650786 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.650850 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.650879 1829 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:10.650901 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 10:45:10.650968 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.651017 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.651553 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.651694 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.651738 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.651886 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.651917 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.651953 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.651999 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.652100 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.652124 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.652141 1829 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.652155 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:10.652170 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.652183 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.652217 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 10:45:10.652368 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.652632 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 10:45:10.652686 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 10:45:10.652704 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 10:45:10.652722 1830 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.652741 1830 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 10:45:10.653611 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.653687 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.653726 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } 10:45:10.653759 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.653785 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.653801 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.653823 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } 10:45:10.653847 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.653865 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 10:45:10.653894 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 10:45:10.653910 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 10:45:10.653927 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.653949 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.653963 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.653983 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 10:45:10.654006 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.654123 1830 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 10:45:10.654169 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.654206 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.654225 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.654244 1829 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.654434 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.655429 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } 10:45:10.657072 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.657297 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.657315 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.657328 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.657346 1829 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.657356 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.657381 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } 10:45:10.657466 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.657491 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.657502 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.657512 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.657523 1829 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.657532 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 10:45:10.657547 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 10:45:10.657575 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.657592 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.657602 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.657613 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.657623 1829 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:10.657632 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.657649 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 10:45:10.657688 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.657756 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.657768 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.657782 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 10:45:10.657793 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.657798 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.657812 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.657821 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.657833 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } 10:45:10.657847 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.657860 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.657868 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.657879 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } 10:45:10.657893 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.657905 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.657916 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.657918 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.657931 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 10:45:10.657932 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.657941 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.657945 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.657958 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.657966 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.657977 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 10:45:10.657990 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.658001 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 10:45:10.658011 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 10:45:10.658020 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 10:45:10.658029 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.658040 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.658048 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.658059 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 10:45:10.658071 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.658082 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.658090 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.658100 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 10:45:10.658115 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.658127 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.658136 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.658150 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 10:45:10.658168 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.658317 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.658427 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.658478 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.658493 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.658518 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.658524 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.658534 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.658536 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.658547 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.658549 1830 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 10:45:10.658557 1829 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:10.658565 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.658584 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 10:45:10.658625 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.658641 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.658645 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.658654 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.658663 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.658671 1829 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 10:45:10.658678 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.658691 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } 10:45:10.658720 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.658733 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.658741 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.658750 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.658757 1829 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 10:45:10.658764 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.658777 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } 10:45:10.658788 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.658801 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.658812 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.658815 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.658826 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.658828 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.658832 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 1 10:45:10.658835 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.658843 1829 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 10:45:10.658844 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.658852 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.658862 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.658865 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:10.658874 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.658882 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.658889 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.658891 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.658906 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.658911 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.658914 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.658922 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.658929 1829 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 10:45:10.658935 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.658936 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.658960 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.658965 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 10:45:10.658992 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659006 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.659017 1830 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 10:45:10.659028 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.659032 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.659043 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659049 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.659050 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659058 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659059 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.659067 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.659077 1829 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 10:45:10.659084 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 10:45:10.659093 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 10:45:10.659109 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.659119 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.659127 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.659127 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 2 10:45:10.659135 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.659142 1829 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 10:45:10.659142 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.659150 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.659161 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 10:45:10.659166 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659183 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.659184 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659194 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659195 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.659203 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.659203 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.659211 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.659216 1830 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 10:45:10.659218 1829 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 10:45:10.659225 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.659226 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.659236 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 10:45:10.659239 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659246 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659252 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659255 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.659268 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.659269 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.659278 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.659286 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.659290 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659294 1829 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 10:45:10.659300 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.659303 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659312 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659316 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 10:45:10.659323 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.659332 1830 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 10:45:10.659342 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.659355 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659361 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659365 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 10:45:10.659367 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659382 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.659392 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 10:45:10.659401 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659414 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659422 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659432 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.659440 1830 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 10:45:10.659450 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.659461 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659466 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659474 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659489 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.659507 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659519 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659526 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.659528 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659538 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.659539 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 10:45:10.659547 1830 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 10:45:10.659557 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.659597 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.659606 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:10.659611 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.659613 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 6 10:45:10.659629 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.659644 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.659648 1829 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 10:45:10.659657 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.659670 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.659673 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 10:45:10.659683 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.659743 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.659757 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659764 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659770 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659786 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.659808 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659820 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659828 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659838 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.659846 1830 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 10:45:10.659856 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.659867 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659872 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659877 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 10:45:10.659878 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659891 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.659908 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 10:45:10.659910 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.659921 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 10:45:10.659924 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.659933 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.659935 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 10:45:10.659942 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.659944 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 10:45:10.659950 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 7 10:45:10.659951 1830 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 10:45:10.659961 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.659971 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659977 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659983 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.659997 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.660015 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.660018 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 10:45:10.660025 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.660034 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.660044 1830 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 10:45:10.660053 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.660064 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660069 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660075 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660088 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.660104 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.660115 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.660122 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.660129 1830 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 10:45:10.660136 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.660145 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660149 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 10:45:10.660150 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660155 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660167 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.660219 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 10:45:10.660333 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.660345 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.660353 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.660361 1830 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 10:45:10.660369 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.660379 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660384 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660389 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660402 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.660418 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.660428 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.660440 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.660447 1830 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 10:45:10.660454 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 10:45:10.660455 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.660464 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660470 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660476 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.660491 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.660511 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.660519 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 10:45:10.660521 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.660533 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.660543 1830 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 10:45:10.660554 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.660583 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 10:45:10.742397 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 10:45:10.742598 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.441761. 10:45:10.742726 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "441761048", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.742809 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.743005 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "441761048", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.743181 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.743224 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "441761048", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.743256 1827 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.743279 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "441761048", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.743320 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 441761048 bts.0.oml-connection-state" 10:45:10.743400 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 441761048 bts.0.oml-connection-state") } 10:45:10.743440 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 441761048 bts.0.oml-connection-state") } 10:45:10.743507 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.743557 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.744164 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 10:45:10.744302 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 10:45:10.744370 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 441761048 bts.0.oml-connection-state connected") } 10:45:10.744444 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 441761048 bts.0.oml-connection-state connected") } id 5 10:45:10.744485 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.744527 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920343431373631303438206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 441761048 bts.0.oml-connection-state connected") } id 5 10:45:10.744558 1827 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.744587 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 441761048 bts.0.oml-connection-state connected" 10:45:10.744622 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 441761048 bts.0.oml-connection-state connected 10:45:10.744644 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.744664 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.744706 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 441761048 bts.0.oml-connection-state connected 10:45:10.744725 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.744753 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.744773 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.744793 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 441761048 bts.0.oml-connection-state connected 10:45:10.744813 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.744833 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.744866 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 441761048 bts.0.oml-connection-state connected 10:45:10.744885 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.744910 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.744929 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.744948 1827 IPA_Emulation.ttcnpp:627 match_begin data: 441761048 bts.0.oml-connection-state connected 10:45:10.744967 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.744986 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.745006 1827 IPA_Emulation.ttcnpp:627 match_first data: 441761048 bts.0.oml-connection-state connected 10:45:10.745024 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.745043 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.745063 1827 IPA_Emulation.ttcnpp:627 match_list data: 441761048 bts.0.oml-connection-state connected 10:45:10.745082 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.745101 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 10:45:10.745120 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.745139 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.745158 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 10:45:10.745177 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.745196 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.745214 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 10:45:10.745233 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.745262 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.745281 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.745300 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.745319 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.745337 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.745357 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.745375 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.745393 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.745413 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "441761048", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.745456 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "441761048", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.745638 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "441761048", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.745780 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.745826 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "441761048", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.745863 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 10:45:10.745898 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:10.745929 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:11.746161 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:11.746383 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:11.746536 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.747017 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 25 10:45:11.747255 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.747353 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.747455 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.747493 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 25 10:45:11.747529 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 10:45:11.747563 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.747601 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 10:45:11.747701 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.748090 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 26 10:45:11.748289 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.748381 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.748479 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.748515 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 26 10:45:11.748550 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 10:45:11.748585 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.748625 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 10:45:11.748725 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.749119 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.749319 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.749409 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.749509 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.749544 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.749577 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 27 was extracted from the queue of BSCVTY. 10:45:11.749611 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.749650 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:11.749750 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.750189 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.750418 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.750508 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.750608 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.750643 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.750697 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 10:45:11.750731 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.750768 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:11.750869 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.751342 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 29 10:45:11.751376 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.751392 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.751398 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 29 10:45:11.751404 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 29 was extracted from the queue of BSCVTY. 10:45:11.751409 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.751416 mtc Osmocom_VTY_Functions.ttcn:333 "TC_no_msc() start" 10:45:11.751429 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_no_msc() start" 10:45:11.751446 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.751688 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 30 10:45:11.751724 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.751741 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.751747 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 30 10:45:11.751752 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 30 was extracted from the queue of BSCVTY. 10:45:11.751758 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.751766 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:12.751992 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:12.752289 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.551005. 10:45:12.752345 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.704254. 10:45:12.752370 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.301528. 10:45:12.752394 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.855241. 10:45:12.752416 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.960428. 10:45:12.752439 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.312820. 10:45:12.752461 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.381595. 10:45:12.752483 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.488588. 10:45:12.752505 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.465793. 10:45:12.752527 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.576219. 10:45:12.752552 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.492429. 10:45:12.752575 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.996589. 10:45:12.752597 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.714568. 10:45:12.752619 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.488613. 10:45:12.752641 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.380316. 10:45:12.752663 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.590936. 10:45:12.752685 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.591099. 10:45:12.752707 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.554790. 10:45:12.752729 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.485882. 10:45:12.752754 mtc BSC_Tests.ttcn:768 "TC_no_msc": using IMSI '001015738933445'H 10:45:12.752869 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.628195. 10:45:12.752933 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.752993 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.753173 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.753351 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.753395 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.753427 1827 IPA_Emulation.ttcnpp:879 Message with id 4 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.753452 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.753494 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.753579 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.753617 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.753695 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.753750 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.754418 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O } id 6 10:45:12.754535 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O 10:45:12.754604 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } 10:45:12.754727 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.754769 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.754814 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592036323831393438303520726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.754845 1827 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:12.754875 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0" 10:45:12.754915 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.754936 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.754958 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.755000 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755020 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.755049 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.755069 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.755089 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755107 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.755128 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.755161 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755180 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.755207 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.755226 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.755245 1827 IPA_Emulation.ttcnpp:627 match_begin data: 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755264 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.755284 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.755304 1827 IPA_Emulation.ttcnpp:627 match_first data: 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755322 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.755342 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.755361 1827 IPA_Emulation.ttcnpp:627 match_list data: 628194805 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755380 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.755399 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755419 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.755440 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.755460 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755479 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.755499 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.755518 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.755538 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.755558 1827 IPA_Emulation.ttcnpp:627 match_begin data: 0 10:45:12.755576 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.755596 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.755615 1827 IPA_Emulation.ttcnpp:627 match_first data: 0 10:45:12.755633 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.755661 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.755679 1827 IPA_Emulation.ttcnpp:627 match_list data: 0 10:45:12.755697 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.755717 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.755762 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.755950 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.756123 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.756170 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "628194805", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.756205 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 7 was extracted from the queue of IPA_CTRL. 10:45:12.756249 mtc Osmocom_CTRL_Functions.ttcn:247 retrieved rate counters: "bsc": { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.756321 mtc BSC_Tests.ttcn:870 initial bsc rate counters: { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.756510 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.770282 1831 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:12.770514 1831 - TTCN-3 Parallel Test Component started on 047eed2ec4bb. Component reference: TC_no_msc(1831), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. Version: 9.0.0. 10:45:12.770568 1831 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:12.770669 1831 - Connected to MC. 10:45:12.770702 1831 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_no_msc. 10:45:12.770952 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 1831, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.771070 mtc BSC_Tests.ttcn:4011 Connecting ports TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC. 10:45:12.771593 1824 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_no_msc(1831):RAN on UNIX pathname /tmp/ttcn3-portconn-9c7bb125. 10:45:12.775540 1831 - Port BSSAP_LE was started. 10:45:12.775551 1831 - Port BSSAP_LE_PROC was started. 10:45:12.775554 1831 - Port STATSD_PROC was started. 10:45:12.775557 1831 - Port MGCP was started. 10:45:12.775561 1831 - Port MGCP_MULTI was started. 10:45:12.775564 1831 - Port MGCP_PROC was started. 10:45:12.775566 1831 - Port BSSAP was started. 10:45:12.775569 1831 - Port BSSAP_PROC was started. 10:45:12.775572 1831 - Port RSL was started. 10:45:12.775575 1831 - Port RSL_PROC was started. 10:45:12.775578 1831 - Port RSL1 was started. 10:45:12.775580 1831 - Port RSL1_PROC was started. 10:45:12.775583 1831 - Port RSL2 was started. 10:45:12.775586 1831 - Port RSL2_PROC was started. 10:45:12.775589 1831 - Port RAN was started. 10:45:12.775592 1831 - Port BSCVTY was started. 10:45:12.775595 1831 - Port COORD was started. 10:45:12.775598 1831 - Port COORD2 was started. 10:45:12.775601 1831 - Port MGCP_MSC_CLIENT was started. 10:45:12.775603 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 10:45:12.775621 1831 - Port RAN has established the connection with VirtMSC-RAN(1824):PROC using transport type UNIX. 10:45:12.775740 1824 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_no_msc(1831):RAN. 10:45:12.775945 mtc BSC_Tests.ttcn:4011 Connect operation on TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC finished. 10:45:12.775967 mtc BSC_Tests.ttcn:4012 Connecting ports TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.776299 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_no_msc(1831):RSL on UNIX pathname /tmp/ttcn3-portconn-cc30f92a. 10:45:12.776509 1831 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT using transport type UNIX. 10:45:12.776698 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_no_msc(1831):RSL. 10:45:12.776940 mtc BSC_Tests.ttcn:4012 Connect operation on TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT finished. 10:45:12.776998 mtc BSC_Tests.ttcn:4013 Connecting ports TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.777476 1830 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_no_msc(1831):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-9577af6d. 10:45:12.777782 1831 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC using transport type UNIX. 10:45:12.777856 1830 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_no_msc(1831):RSL_PROC. 10:45:12.778092 mtc BSC_Tests.ttcn:4013 Connect operation on TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC finished. 10:45:12.778223 mtc BSC_Tests.ttcn:4022 Connecting ports TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.778620 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_no_msc(1831):BSSAP on UNIX pathname /tmp/ttcn3-portconn-da6fe639. 10:45:12.778908 1831 - Port BSSAP has established the connection with VirtMSC-RAN(1824):CLIENT using transport type UNIX. 10:45:12.779119 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_no_msc(1831):BSSAP. 10:45:12.779328 mtc BSC_Tests.ttcn:4022 Connect operation on TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT finished. 10:45:12.779450 mtc BSC_Tests.ttcn:4027 Connecting ports TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.779873 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC is waiting for connection from TC_no_msc(1831):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-2576af1d. 10:45:12.780081 1831 - Port STATSD_PROC has established the connection with VirtMSC-STATS(1822):STATSD_PROC using transport type UNIX. 10:45:12.780293 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC has accepted the connection from TC_no_msc(1831):STATSD_PROC. 10:45:12.780404 mtc BSC_Tests.ttcn:4027 Connect operation on TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC finished. 10:45:12.780537 mtc BSC_Tests.ttcn:4028 Connecting ports TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.780990 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_no_msc(1831):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-c773ab3f. 10:45:12.781150 1831 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(1828):MGCP_PROC using transport type UNIX. 10:45:12.781249 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_no_msc(1831):MGCP_PROC. 10:45:12.781379 mtc BSC_Tests.ttcn:4028 Connect operation on TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC finished. 10:45:12.781500 mtc BSC_Tests.ttcn:4029 Connecting ports TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.781907 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_no_msc(1831):MGCP on UNIX pathname /tmp/ttcn3-portconn-dd69a476. 10:45:12.782049 1831 - Port MGCP has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT using transport type UNIX. 10:45:12.782155 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_no_msc(1831):MGCP. 10:45:12.782277 mtc BSC_Tests.ttcn:4029 Connect operation on TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT finished. 10:45:12.782423 mtc BSC_Tests.ttcn:4030 Connecting ports TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.782819 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_no_msc(1831):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-dd21a43e. 10:45:12.782960 1831 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI using transport type UNIX. 10:45:12.783068 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_no_msc(1831):MGCP_MULTI. 10:45:12.783200 mtc BSC_Tests.ttcn:4030 Connect operation on TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI finished. 10:45:12.783322 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001015738933445'H, imei := '00101497435554'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }) on component TC_no_msc(1831). 10:45:12.783603 mtc BSC_Tests.ttcn:4052 Function was started. 10:45:12.785196 1831 - Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001015738933445'H, imei := '00101497435554'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }). 10:45:12.788332 1831 MGCP_Templates.ttcn:396 Random number generator was initialized with seed 3905.788329: srand48(1784210719). 10:45:12.788413 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.421918. 10:45:12.788606 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.558636. 10:45:12.788778 1831 MSC_ConnectionHandler.ttcn:576 Mapping port TC_no_msc(1831):BSCVTY to system:BSCVTY. 10:45:12.790217 1831 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:12.790543 1831 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 10:45:12.790656 1831 MSC_ConnectionHandler.ttcn:576 Map operation of TC_no_msc(1831):BSCVTY to system:BSCVTY finished. 10:45:12.791082 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:12.791282 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:12.791335 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:12.791719 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:12.791949 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.792082 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.792107 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.792122 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.792136 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.792180 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.792319 1831 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:12.792380 1831 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:12.792416 1831 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:12.792610 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:12.792777 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.792839 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.792857 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:12.792874 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:12.792915 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.793027 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:12.793071 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.795682 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.795847 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 10:45:12.796989 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.798020 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.798922 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.799859 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.800550 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:12.801267 1831 Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.176.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.176.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.176.20\n remote-ip 172.18.176.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.176.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.801317 1831 Osmocom_VTY_Functions.ttcn:85 Message with id 3 was extracted from the queue of BSCVTY. 10:45:12.801332 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.801345 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.801351 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 10:45:12.801356 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 10:45:12.801362 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.801468 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:12.801487 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.801606 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 5 10:45:12.801647 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.801663 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.801680 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.801686 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 5 10:45:12.801697 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:12.801703 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.801721 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:12.801741 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.801767 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.801780 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.801791 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.801806 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.801811 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.801816 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:12.801822 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.801849 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 10:45:12.801860 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.801883 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.801898 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.801908 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.801922 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.801927 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.801931 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 7 was extracted from the queue of BSCVTY. 10:45:12.801936 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.801941 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.801949 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.801964 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:12.801973 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.801983 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.801996 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.802001 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:12.802005 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:12.802010 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.802014 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.802021 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.802032 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 9 10:45:12.802042 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.802054 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.802059 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 9 10:45:12.802064 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 9 was extracted from the queue of BSCVTY. 10:45:12.802068 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.802772 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { locationUpdateRequest := { messageType := '000000'B, nsd := '00'B, locationUpdatingType := { lut := '10'B, spare1_1 := '0'B, fop := '0'B }, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, locationAreaIdentification := { mcc_mnc := '00F110'O, lac := '172A'O }, mobileStationClassmark1 := { rf_PowerCapability := '010'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B }, mobileIdentityLV := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001010000100001'H, fillerDigit := omit } } } }, classmarkInformationType2_forUMTS := omit, additionalUpdateParameterTV := omit, deviceProperties := omit, mS_NetworkFeatureSupport := omit } } } } 10:45:12.803081 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Stream after encoding: '05080200F110172A52080910100000010010'O 10:45:12.803355 1831 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } 10:45:12.803518 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.803616 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 10:45:12.803630 1830 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.803643 1830 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 10:45:12.803681 1831 RSL_Emulation.ttcn:120 Start timer T: 10 s 10:45:12.803856 1830 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 10:45:12.803998 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.804043 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.804054 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.804061 1829 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 10:45:12.804067 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 10:45:12.804107 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 10:45:12.804118 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 10:45:12.804125 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 10:45:12.804133 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 10:45:12.804140 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 10:45:12.804378 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.804398 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.804408 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 10:45:12.804422 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.804431 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.804438 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.804443 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.804448 1829 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 10:45:12.804452 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.804657 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 10:45:12.805173 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.805463 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.805493 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.805498 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.805502 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.805529 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.805551 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.805560 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.805567 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.805576 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 10:45:12.805586 1830 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.805594 1830 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 10:45:12.805683 1830 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 10:45:12.805784 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.805820 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.805829 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.805835 1829 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 10:45:12.805839 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 10:45:12.805870 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201200802F7'O 10:45:12.805880 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201200802F7'O } 10:45:12.805885 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201200802F7'O } 10:45:12.805893 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201200802F7'O 10:45:12.805898 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201200802F7'O } 10:45:12.805958 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.805964 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.805972 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 10:45:12.805980 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.805986 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.805992 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.805997 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.806001 1829 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 10:45:12.806005 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.806026 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.806061 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:12.806086 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.806096 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.806100 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.806103 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.806116 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 10:45:12.806123 1830 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.806131 1830 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 10:45:12.806182 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.806524 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.806721 1830 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.806992 1831 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.807155 1831 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 10:45:12.807173 1831 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.807182 1831 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 10:45:12.807192 1831 RSL_Emulation.ttcn:123 Stop timer T: 10 s 10:45:12.807250 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.807550 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.807934 1831 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.808050 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.808064 1831 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } 10:45:12.808078 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.808094 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.808101 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.808107 1830 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 10:45:12.808196 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } 10:45:12.808260 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.808280 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.808284 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 10:45:12.808287 1830 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.808291 1830 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.808294 1830 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.808297 1830 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.808308 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.808309 1830 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 10:45:12.808336 1830 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.808338 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.808343 1830 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.808348 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.808354 1829 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 10:45:12.808359 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.808397 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B001205080200F110172A52080910100000010010'O 10:45:12.808408 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.808414 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.808422 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001B000206012002000B001205080200F110172A52080910100000010010'O 10:45:12.808433 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001B000206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.808619 1830 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.808682 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D20'O } id 18 10:45:12.808702 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D20'O 10:45:12.808711 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D20'O } 10:45:12.808718 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.808725 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 10:45:12.808729 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 10:45:12.808734 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 10:45:12.808739 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.808744 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 3003, locName := "172.18.176.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 10:45:12.808747 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 10:45:12.808751 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 10:45:12.808756 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.808763 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.808769 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.808774 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.808779 1829 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 10:45:12.808783 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D20'O 10:45:12.808807 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.808864 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } 10:45:12.808866 1831 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.808889 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.808895 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.808897 1831 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 10:45:12.808900 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.808900 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.808904 1829 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 10:45:12.808908 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 10:45:12.808910 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.808913 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.808915 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.808918 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.808926 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.808929 1831 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.808933 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.808938 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.808939 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.808939 1831 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.808941 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.808946 1829 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 10:45:12.808949 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 10:45:12.808954 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.808960 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.808963 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.808968 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.808973 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.808979 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.808984 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.808991 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.808996 1830 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 10:45:12.809012 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.809035 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.809045 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.809050 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809054 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809057 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809066 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.809077 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.809084 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.809089 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.809094 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.809099 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.809104 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.809108 1830 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 10:45:12.809114 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.809119 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809123 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809126 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.809134 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.809145 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.809152 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.809157 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.809162 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.809166 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.809171 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.809175 1830 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 10:45:12.809180 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.809194 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.809210 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.809878 1831 BSC_Tests.ttcn:10933 Matching on port RSL succeeded: matched 10:45:12.809895 1831 BSC_Tests.ttcn:10933 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.809902 1831 BSC_Tests.ttcn:10933 Message with id 2 was extracted from the queue of RSL. 10:45:12.809916 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RR Release" 10:45:12.809942 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RR Release" 10:45:12.809960 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.809989 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.810091 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 10 10:45:12.810130 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.810148 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.810154 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 10 10:45:12.810159 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 10 was extracted from the queue of BSCVTY. 10:45:12.810166 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.810187 1831 BSC_Tests.ttcn:10936 Matching on port RSL succeeded: matched 10:45:12.810195 1831 BSC_Tests.ttcn:10936 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.810201 1831 BSC_Tests.ttcn:10936 Message with id 3 was extracted from the queue of RSL. 10:45:12.810206 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 10:45:12.810218 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 10:45:12.810235 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.810258 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 11 10:45:12.810271 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.810284 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.810293 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 11 10:45:12.810304 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of BSCVTY. 10:45:12.810310 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.810320 1831 BSC_Tests.ttcn:10939 Matching on port RSL succeeded: matched 10:45:12.810326 1831 BSC_Tests.ttcn:10939 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.810331 1831 BSC_Tests.ttcn:10939 Message with id 4 was extracted from the queue of RSL. 10:45:12.810336 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.810345 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.810357 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.810386 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:12.810410 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.810427 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.810432 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:12.810438 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:12.810445 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.810518 1831 BSC_Tests.ttcn:10941 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.810535 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.810550 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.810555 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.810560 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.810565 1830 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 10:45:12.810570 1831 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } 10:45:12.810576 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.810598 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.810614 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.810620 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.810620 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 2 10:45:12.810629 1829 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 10:45:12.810633 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.810647 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 10:45:12.810649 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.810654 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 10:45:12.810660 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 10:45:12.810665 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_no_msc(1831) with ? matched } 10:45:12.810666 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 10:45:12.810672 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 10:45:12.810679 1830 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 1 10:45:12.810684 1830 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.810721 1830 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { } 10:45:12.810736 1831 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { } id 2 10:45:12.810745 1831 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 10:45:12.810750 1831 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_unregister : { } id 1 10:45:12.810755 1831 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.810768 1831 BSC_Tests.ttcn:10945 setverdict(pass): none -> pass 10:45:12.810785 1831 - Function f_handler_init finished. PTC terminates. 10:45:12.810791 1831 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 10:45:12.810795 1831 - Port BSSAP_LE was stopped. 10:45:12.810801 1831 - Port BSSAP_LE_PROC was stopped. 10:45:12.810804 1831 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.810817 1831 - Port STATSD_PROC was stopped. 10:45:12.810820 1831 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.810826 1831 - Port MGCP was stopped. 10:45:12.810829 1831 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.810835 1831 - Port MGCP_MULTI was stopped. 10:45:12.810836 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_no_msc(1831):MGCP was closed unexpectedly by the peer. 10:45:12.810838 1831 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.810847 1831 - Port MGCP_PROC was stopped. 10:45:12.810851 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_no_msc(1831):MGCP. 10:45:12.810851 1831 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.810863 1831 - Port BSSAP was stopped. 10:45:12.810868 1831 - Port BSSAP_PROC was stopped. 10:45:12.810871 1831 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.810875 1822 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to TC_no_msc(1831):STATSD_PROC was closed unexpectedly by the peer. 10:45:12.810875 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_no_msc(1831):MGCP_MULTI was closed unexpectedly by the peer. 10:45:12.810878 1831 - Port RSL was stopped. 10:45:12.810879 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_no_msc(1831):MGCP_MULTI. 10:45:12.810881 1830 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_no_msc(1831):RSL was closed unexpectedly by the peer. 10:45:12.810881 1831 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.810887 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_no_msc(1831):RSL. 10:45:12.810888 1831 - Port RSL_PROC was stopped. 10:45:12.810890 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_no_msc(1831):MGCP_PROC was closed unexpectedly by the peer. 10:45:12.810892 1831 - Port RSL1 was stopped. 10:45:12.810894 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_no_msc(1831):MGCP_PROC. 10:45:12.810896 1831 - Port RSL1_PROC was stopped. 10:45:12.810899 1831 - Port RSL2 was stopped. 10:45:12.810902 1831 - Port RSL2_PROC was stopped. 10:45:12.810905 1831 - Removing unterminated connection between port RAN and VirtMSC-RAN(1824):PROC. 10:45:12.810909 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from TC_no_msc(1831):STATSD_PROC. 10:45:12.810910 1824 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_no_msc(1831):BSSAP was closed unexpectedly by the peer. 10:45:12.810912 1831 - Port RAN was stopped. 10:45:12.810916 1830 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_no_msc(1831):RSL_PROC was closed unexpectedly by the peer. 10:45:12.810916 1831 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.810922 1830 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_no_msc(1831):RSL_PROC. 10:45:12.810932 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_no_msc(1831):BSSAP. 10:45:12.810949 1831 - Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.810957 1831 - Port BSCVTY was stopped. 10:45:12.810961 1831 - Port COORD was stopped. 10:45:12.810964 1831 - Port COORD2 was stopped. 10:45:12.810969 1831 - Port MGCP_MSC_CLIENT was stopped. 10:45:12.810972 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_no_msc. 10:45:12.810977 1831 - Final verdict of PTC: pass 10:45:12.810980 1824 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_no_msc(1831):RAN was closed unexpectedly by the peer. 10:45:12.810988 1824 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_no_msc(1831):RAN. 10:45:12.811041 mtc BSC_Tests.ttcn:10957 PTC with component reference 1831 is done. 10:45:12.811051 1831 - Disconnected from MC. 10:45:12.811060 1831 - TTCN-3 Parallel Test Component finished. 10:45:12.811066 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.939237. 10:45:12.811082 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.811094 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.811159 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.811198 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.811215 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.811228 1827 IPA_Emulation.ttcnpp:879 Message with id 5 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.811238 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.811253 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.811278 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.811293 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.811316 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.811335 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.811454 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.176.20", remPort := 4249, locName := "172.18.176.203", locPort := 45813, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O } id 7 10:45:12.811478 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O 10:45:12.811498 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } 10:45:12.811524 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.811546 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.811561 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039333932333639343820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.811573 1827 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:12.811584 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1" 10:45:12.811598 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811607 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.811616 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.811630 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811639 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.811649 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.811658 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.811666 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811675 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.811683 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.811697 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811705 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.811716 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.811724 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.811732 1827 IPA_Emulation.ttcnpp:627 match_begin data: 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811740 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.811749 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.811757 1827 IPA_Emulation.ttcnpp:627 match_first data: 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811765 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.811774 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.811782 1827 IPA_Emulation.ttcnpp:627 match_list data: 939236948 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811790 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.811798 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811806 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.811815 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.811823 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811831 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.811839 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.811847 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.811855 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.811863 1827 IPA_Emulation.ttcnpp:627 match_begin data: 1 10:45:12.811871 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.811879 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.811887 1827 IPA_Emulation.ttcnpp:627 match_first data: 1 10:45:12.811895 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.811904 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.811912 1827 IPA_Emulation.ttcnpp:627 match_list data: 1 10:45:12.811919 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.811928 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.811954 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.811979 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.811992 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.811999 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "939236948", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.812006 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 8 was extracted from the queue of IPA_CTRL. 10:45:12.812014 mtc Osmocom_CTRL_Functions.ttcn:312 setverdict(pass): none -> pass 10:45:12.812032 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 10:45:12.812045 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.812451 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.812458 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 10:45:12.812479 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.812495 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.812509 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.812517 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.812523 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with ? matched 10:45:12.812529 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.812534 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 31 was extracted from the queue of BSCVTY. 10:45:12.812547 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.812559 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.812564 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 10:45:12.812569 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 10:45:12.812574 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.812579 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 10:45:12.812588 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 10:45:12.812596 mtc BSC_Tests.ttcn:918 Stopping all components. 10:45:12.812663 1823 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 10:45:12.812665 1822 StatsD_Checker.ttcn:119 Kill was requested from MC. 10:45:12.812665 1824 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 10:45:12.812667 1828 MGCP_Emulation.ttcn:290 Stop was requested from MC. 10:45:12.812669 1829 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 10:45:12.812669 1830 RSL_Emulation.ttcn:496 Stop was requested from MC. 10:45:12.812672 1823 SCCP_Emulation.ttcn:5513 Terminating test component execution. 10:45:12.812675 1827 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.812676 1828 MGCP_Emulation.ttcn:290 Stopping test component execution. 10:45:12.812676 1830 RSL_Emulation.ttcn:496 Stopping test component execution. 10:45:12.812678 1829 IPA_Emulation.ttcnpp:735 Stopping test component execution. 10:45:12.812684 1824 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 10:45:12.812694 1822 StatsD_Checker.ttcn:119 Terminating test component execution. 10:45:12.812708 1827 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.812720 1825 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.812757 1825 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.812888 1830 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.812911 1823 - Function SCCPStart was stopped. PTC terminates. 10:45:12.812913 1824 - Function main was stopped. PTC terminates. 10:45:12.812929 1823 - Terminating component type SCCP_Emulation.SCCP_CT. 10:45:12.812933 1824 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 10:45:12.812938 1824 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:12.812955 1824 - Port BSSAP was stopped. 10:45:12.812959 1824 - Port CLIENT was stopped. 10:45:12.812960 1823 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_no_msc. 10:45:12.812962 1824 - Removing unterminated connection between port MGCP and VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:12.812966 1823 - Final verdict of PTC: none 10:45:12.812976 1824 - Port MGCP was stopped. 10:45:12.812979 1824 - Removing unterminated connection between port CTRL and VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:12.812989 1824 - Port CTRL was stopped. 10:45:12.812992 1824 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 10:45:12.813000 1824 - Port CTRL_CLIENT was stopped. 10:45:12.813004 1824 - Port PROC was stopped. 10:45:12.813005 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(1824):CTRL_CLIENT was closed unexpectedly by the peer. 10:45:12.813006 1824 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.813012 1824 - Final verdict of PTC: none 10:45:12.813013 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:12.813017 1828 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.813022 1822 - Function main was stopped. PTC terminates. 10:45:12.813032 1824 - Disconnected from MC. 10:45:12.813032 1829 - Function main_client was stopped. PTC remains alive and is waiting for next start. 10:45:12.813039 1824 - TTCN-3 Parallel Test Component finished. 10:45:12.813062 1822 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 10:45:12.813064 1827 - Function main_client was stopped. PTC terminates. 10:45:12.813076 1822 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 10:45:12.813106 1827 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.813120 1827 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.813135 1825 - Function main_server was stopped. PTC terminates. 10:45:12.813144 1822 - Port STATSVTY was unmapped from system:STATSVTY. 10:45:12.813167 1822 - Port STATSVTY was stopped. 10:45:12.813176 1825 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.813180 1822 - Port STATSD_PROC was stopped. 10:45:12.813188 1825 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.813190 1822 - Removing unterminated mapping between port STATS and system:STATS. 10:45:12.813410 1827 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.813437 1827 - Port IPA_PORT was stopped. 10:45:12.813448 1827 - Port CFG_PORT was stopped. 10:45:12.813456 1827 - Port MTP3_SP_PORT was stopped. 10:45:12.813464 1827 - Port IPA_MGCP_PORT was stopped. 10:45:12.813473 1827 - Port IPA_RSL_PORT was stopped. 10:45:12.813481 1827 - Port IPA_OML_PORT was stopped. 10:45:12.813489 1827 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:12.813509 1827 - Port IPA_CTRL_PORT was stopped. 10:45:12.813511 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT was closed unexpectedly by the peer. 10:45:12.813519 1827 - Port IPA_SP_PORT was stopped. 10:45:12.813519 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:12.813528 1827 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.813541 1827 - Final verdict of PTC: none 10:45:12.813576 1822 - Port STATS was unmapped from system:STATS. 10:45:12.813582 1827 - Disconnected from MC. 10:45:12.813598 1827 - TTCN-3 Parallel Test Component finished. 10:45:12.813599 1825 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.813606 1822 - Port STATS was stopped. 10:45:12.813617 1822 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_no_msc. 10:45:12.813622 1825 - Port IPA_PORT was stopped. 10:45:12.813631 1822 - Final verdict of PTC: none 10:45:12.813632 1825 - Port CFG_PORT was stopped. 10:45:12.813640 1825 - Removing unterminated connection between port MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:12.813664 1825 - Port MTP3_SP_PORT was stopped. 10:45:12.813675 1825 - Removing unterminated connection between port IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:12.813684 1822 - Disconnected from MC. 10:45:12.813694 1822 - TTCN-3 Parallel Test Component finished. 10:45:12.813713 1825 - Port IPA_MGCP_PORT was stopped. 10:45:12.813729 1825 - Port IPA_RSL_PORT was stopped. 10:45:12.813732 1825 - Port IPA_OML_PORT was stopped. 10:45:12.813735 1825 - Removing unterminated connection between port IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:12.813746 1825 - Port IPA_CTRL_PORT was stopped. 10:45:12.813749 1825 - Port IPA_SP_PORT was stopped. 10:45:12.813752 1825 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.813760 1825 - Final verdict of PTC: none 10:45:12.813789 mtc BSC_Tests.ttcn:918 All components were stopped. 10:45:12.813806 mtc BSC_Tests.ttcn:919 setverdict(pass): pass -> pass, component reason not changed 10:45:12.813813 mtc BSC_Tests.ttcn:920 Stopping test component execution. 10:45:12.813814 1825 - Disconnected from MC. 10:45:12.813826 1825 - TTCN-3 Parallel Test Component finished. 10:45:12.813832 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc was stopped. 10:45:12.813836 mtc BSC_Tests.ttcn:10961 Terminating component type BSC_Tests.test_CT. 10:45:12.813842 mtc BSC_Tests.ttcn:10961 Default with id 1 (altstep as_Tguard) was deactivated. 10:45:12.813846 mtc BSC_Tests.ttcn:10961 Stop timer T_guard: 30 s 10:45:12.813854 mtc BSC_Tests.ttcn:10961 Port IPA_CTRL was stopped. 10:45:12.813858 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:12.813900 mtc BSC_Tests.ttcn:10961 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813916 mtc BSC_Tests.ttcn:10961 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813930 mtc BSC_Tests.ttcn:10961 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813942 mtc BSC_Tests.ttcn:10961 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813950 1830 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 10:45:12.813953 mtc BSC_Tests.ttcn:10961 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813970 mtc BSC_Tests.ttcn:10961 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.813987 mtc BSC_Tests.ttcn:10961 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814003 mtc BSC_Tests.ttcn:10961 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814013 mtc BSC_Tests.ttcn:10961 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814023 mtc BSC_Tests.ttcn:10961 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814034 mtc BSC_Tests.ttcn:10961 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814045 mtc BSC_Tests.ttcn:10961 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814046 1830 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 10:45:12.814056 mtc BSC_Tests.ttcn:10961 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.814067 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[0] was stopped. 10:45:12.814078 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[1] was stopped. 10:45:12.814088 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[2] was stopped. 10:45:12.814098 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][0] was stopped. 10:45:12.814108 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][1] was stopped. 10:45:12.814117 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][2] was stopped. 10:45:12.814126 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][3] was stopped. 10:45:12.814136 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][0] was stopped. 10:45:12.814145 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][1] was stopped. 10:45:12.814154 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][2] was stopped. 10:45:12.814175 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][3] was stopped. 10:45:12.814185 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][0] was stopped. 10:45:12.814194 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][1] was stopped. 10:45:12.814204 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][2] was stopped. 10:45:12.814214 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][3] was stopped. 10:45:12.814225 mtc BSC_Tests.ttcn:10961 Port IPA was stopped. 10:45:12.814235 mtc BSC_Tests.ttcn:10961 Port SCCPLITE_IPA_CTRL was stopped. 10:45:12.814245 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:12.814278 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][0] was stopped. 10:45:12.814289 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][1] was stopped. 10:45:12.814317 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][2] was stopped. 10:45:12.814329 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][3] was stopped. 10:45:12.814332 1829 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 10:45:12.814339 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][0] was stopped. 10:45:12.814349 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][1] was stopped. 10:45:12.814361 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][2] was stopped. 10:45:12.814371 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][3] was stopped. 10:45:12.814382 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][0] was stopped. 10:45:12.814387 1829 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 10:45:12.814392 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][1] was stopped. 10:45:12.814403 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][2] was stopped. 10:45:12.814413 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][3] was stopped. 10:45:12.814423 mtc BSC_Tests.ttcn:10961 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.814485 mtc BSC_Tests.ttcn:10961 Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.814503 mtc BSC_Tests.ttcn:10961 Port BSCVTY was stopped. 10:45:12.814515 mtc BSC_Tests.ttcn:10961 Port BSSAP was stopped. 10:45:12.814525 mtc BSC_Tests.ttcn:10961 Port BSSAP_LE was stopped. 10:45:12.814535 mtc BSC_Tests.ttcn:10961 Component type BSC_Tests.test_CT was shut down inside testcase TC_no_msc. 10:45:12.814549 mtc BSC_Tests.ttcn:10961 Waiting for PTCs to finish. 10:45:12.814637 1828 - Kill was requested from MC. Terminating idle PTC. 10:45:12.814658 1829 - Kill was requested from MC. Terminating idle PTC. 10:45:12.814662 1830 - Kill was requested from MC. Terminating idle PTC. 10:45:12.814679 1830 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 10:45:12.814692 1830 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT. 10:45:12.814715 1830 - Port IPA_PT was stopped. 10:45:12.814727 1830 - Port CLIENT_PT was stopped. 10:45:12.814730 1828 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 10:45:12.814731 1829 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.814736 1830 - Port RSL_PROC was stopped. 10:45:12.814747 1830 - Port CCHAN_PT was stopped. 10:45:12.814758 1830 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.814771 1829 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.814775 1830 - Final verdict of PTC: none 10:45:12.814777 1828 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 10:45:12.814911 1830 - Disconnected from MC. 10:45:12.815004 1830 - TTCN-3 Parallel Test Component finished. 10:45:12.815433 1828 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 10:45:12.815466 1828 - Port MGCP was stopped. 10:45:12.815478 1828 - Port MGCP_CLIENT was stopped. 10:45:12.815487 1828 - Port MGCP_CLIENT_MULTI was stopped. 10:45:12.815497 1828 - Port MGCP_PROC was stopped. 10:45:12.815518 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.815535 1828 - Final verdict of PTC: none 10:45:12.815662 1828 - Disconnected from MC. 10:45:12.815754 1828 - TTCN-3 Parallel Test Component finished. 10:45:12.815761 1829 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.815856 1829 - Port IPA_PORT was stopped. 10:45:12.815894 1829 - Port CFG_PORT was stopped. 10:45:12.815926 1829 - Port MTP3_SP_PORT was stopped. 10:45:12.815956 1829 - Port IPA_MGCP_PORT was stopped. 10:45:12.815985 1829 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:12.816094 1829 - Port IPA_RSL_PORT was stopped. 10:45:12.816134 1829 - Port IPA_OML_PORT was stopped. 10:45:12.816163 1829 - Port IPA_CTRL_PORT was stopped. 10:45:12.816193 1829 - Port IPA_SP_PORT was stopped. 10:45:12.816222 1829 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.816269 1829 - Final verdict of PTC: none 10:45:12.816502 1829 - Disconnected from MC. 10:45:12.816507 mtc BSC_Tests.ttcn:10961 Setting final verdict of the test case. 10:45:12.816617 1829 - TTCN-3 Parallel Test Component finished. 10:45:12.816618 mtc BSC_Tests.ttcn:10961 Local verdict of MTC: pass 10:45:12.816684 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-STATS(1822): none (pass -> pass) 10:45:12.816742 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-SCCP(1823): none (pass -> pass) 10:45:12.816794 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-RAN(1824): none (pass -> pass) 10:45:12.816845 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA(1825): none (pass -> pass) 10:45:12.816897 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA-WAIT(1826): pass (pass -> pass) 10:45:12.816950 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-CTRL-CLI-IPA(1827): none (pass -> pass) 10:45:12.817004 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMGW-MGCP-0(1828): none (pass -> pass) 10:45:12.817058 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(1829): none (pass -> pass) 10:45:12.817112 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(1830): none (pass -> pass) 10:45:12.817162 mtc BSC_Tests.ttcn:10961 Local verdict of PTC TC_no_msc(1831): pass (pass -> pass) 10:45:12.817213 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc finished. Verdict: pass 10:45:12.817273 mtc BSC_Tests.ttcn:10961 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass'. 10:45:17.953387 mtc BSC_Tests.ttcn:10961 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass' was executed successfully (exit status: 0). 10:45:17.953484 mtc BSC_Tests.ttcn:11038 Switching to log file `BSC_Tests-TC_refuse_chan_act_to_vamos-047eed2ec4bb-mtc.log'